diff --git a/.envrc b/.envrc new file mode 100644 index 0000000..8629be4 --- /dev/null +++ b/.envrc @@ -0,0 +1,6 @@ +export OPENLANE_ROOT=$(direnv_layout_dir)/ttsetup/openlane +export PDK_ROOT=$(direnv_layout_dir)/ttsetup/pdk +export PDK=sky130A +export OPENLANE_TAG=2024.04.22 +export OPENLANE_IMAGE_NAME=efabless/openlane:2024.04.22 +source $(direnv_layout_dir)/ttsetup/bin/activate diff --git a/.gitignore b/.gitignore index e62b85d..af40c8f 100644 --- a/.gitignore +++ b/.gitignore @@ -9,3 +9,5 @@ test/sim_build test/__pycache__/ test/results.xml test/gate_level_netlist.v + +/.direnv diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..8796d5d --- /dev/null +++ b/.gitmodules @@ -0,0 +1,4 @@ +[submodule "tt"] + path = tt + url = https://github.com/TinyTapeout/tt-support-tools.git + branch = tt07 diff --git a/tt b/tt new file mode 160000 index 0000000..98e9482 --- /dev/null +++ b/tt @@ -0,0 +1 @@ +Subproject commit 98e9482354707b380236bc522574a48b09c15c8d