Adding additional demos

Some simulation demos curtesy of Holger Vogt and a new board design
curtesy of Pat Deegan
This commit is contained in:
Seth Hillbrand 2024-01-15 16:52:33 -08:00
parent 82a0227c20
commit d25ac664f8
116 changed files with 1166964 additions and 2 deletions

View File

@ -14,6 +14,7 @@ install( DIRECTORY
stickhub
test_pads_inside_pads
test_xil_95108
tiny_tapeout
video
DESTINATION ${KICAD_DEMOS}
COMPONENT resources

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "Class-D.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Referenz"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "Class-D.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"30d43cb8-f82b-4a5d-811a-ca847a6b3021",
"Root"
]
],
"text_variables": {}
}

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "a-multi.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "a-multi.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"e63e39d7-6ac0-4ffd-8aa3-1841a4541b55",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,41 @@
{
"last_sch_text_sim_command": ".tran 1u 10m",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 1u 10m",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": false,
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(228, 26, 28)",
"signal": "V(/in1)",
"trace_type": 257
},
{
"color": "rgb(55, 126, 184)",
"signal": "V(/in2)",
"trace_type": 257
},
{
"color": "rgb(77, 175, 74)",
"signal": "V(/out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,6 @@
* multiplier subcircuit
.subckt mult in1 in2 out
A1 [in1 in2] out mul
.model mul mult
.ends

View File

@ -0,0 +1,56 @@
(kicad_symbol_lib (version 20230620) (generator kicad_symbol_editor)
(symbol "AudioDriver1" (pin_names (offset 1.016)) (exclude_from_sim no) (in_bom yes) (on_board yes)
(property "Reference" "U" (at -7.62 8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "AudioDriver1" (at -7.62 -8.89 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Description" "Class D audio driver" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_locked" "" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "CMOS" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "DIP?16*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "AudioDriver1_1_0"
(pin output line (at 12.7 2.54 180) (length 5.08)
(name "HO+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 0 180) (length 5.08)
(name "HO-" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -2.54 180) (length 5.08)
(name "LO+" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin output line (at 12.7 -5.08 180) (length 5.08)
(name "LO-" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin input line (at -12.7 -2.54 0) (length 5.08)
(name "A_in" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
)
(symbol "AudioDriver1_1_1"
(rectangle (start -7.62 5.08) (end 7.62 -7.62)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
)
)

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "Class-D.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Referenz"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "Class-D.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"30d43cb8-f82b-4a5d-811a-ca847a6b3021",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,56 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 10n 3m",
".kicad adjustpaths",
".save all",
".probe alli"
],
"dottedSecondary": true,
"margins": {
"bottom": 45,
"left": 70,
"right": 140,
"top": 30
},
"measurements": [
{
"expr": "RMS P(R15)",
"format": "3~W"
}
],
"showGrid": true,
"traces": [
{
"color": "rgb(77, 175, 74)",
"cursor1": {
"position": 0.008058823529411764,
"x_format": "3~s",
"y_format": "3~W"
},
"cursorD": {
"x_format": "3~s",
"y_format": "3~V"
},
"signal": "P(R15)",
"trace_type": 272
},
{
"color": "rgb(55, 126, 184)",
"signal": "V(/Ain)",
"trace_type": 257
},
{
"color": "rgb(228, 26, 28)",
"signal": "V(/out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,55 @@
* Class D audio amp frontend (to drive a power MOS half bridge)
* analog input
* pwm clock generator
* digital one-shot
* non-overlapping clock
* two floating half-bridge drivers
* Calling the subcircuit
* Xpwm ain lo+ lo- hi+ hi- DAudioDriver freq = 500k dtime = 100n voutp = 1.4 voutn = 0
.subckt DAudioDriver ain lo+ lo- hi+ hi- params: freq = 317k dtime = 50n voutp = 12 voutn = 0
apwm1 ain dfast1 pwm_osc
.model pwm_osc d_pwm(cntl_array = [-2 -1.99 1.99 2]
+ dc_array = [0.1 0.1 0.9 0.9]
+ frequency = {freq} init_phase = 90.0
a6 dfast1 _d1 inv1
.model inv1 d_inverter(rise_delay = 0.3e-9 fall_delay = 0.3e-9
+ input_load = 0.5e-12)
* equalize d1 and _d1
abuf2 dfast1 d1 buff2
.model buff2 d_buffer(rise_delay = 0.3e-9 fall_delay = 0.3e-9
+ input_load = 0.5e-12)
*** one-shot ***
* buffer
abuf1 dfast1 d2 buff1
.model buff1 d_buffer(rise_delay = {dtime} fall_delay = {dtime}
+ input_load = 0.5e-12)
* one-shot 1->0 output
a9 [dfast1 d2] dos xnor3
.model xnor3 d_xnor(rise_delay = 0.2e-9 fall_delay = 0.2e-9
+ input_load = 0.5e-12)
***
* outputs: inverted, non-overlapping
aand1 [d1 dos] dout1 and1
aand2 [_d1 dos] dout2 and1
.model and1 d_and(rise_delay = 0.4e-9 fall_delay = 0.4e-9
+ input_load = 0.5e-12)
* analog out, differential
abridge1 [dout1] [%vd(lo+ lo-)] dac1
abridge2 [dout2] [%vd(hi+ hi-)] dac1
.model dac1 dac_bridge(out_low = {voutn} out_high = {voutp} out_undef = 0
+ input_load = 5.0e-12 t_rise = 20e-9
+ t_fall = 20e-9)
* test
* do we have overlap?
* aandtest [dout1 dout2] dtest and1
.ends

View File

@ -0,0 +1,89 @@
* Class D audio amp frontend (to drive a power MOS half bridge)
* analog input
* pwm clock generator
* digital one-shot
* non-overlapping clock
* two floating half-bridge drivers
* Calling the subcircuit model
* Xpwm ain lo+ lo- hi+ hi- DAudioDriver freq = 500k dtime = 100n voutp = 1.4 voutn = 0
* PWM idea with one-shot from
* https://www.thequantizer.com/tutorials/dac-and-pwm-kicad-simulation/
.subckt pwm_source cntl_in dout params: freq=1.1Meg
*****************************************************************
* freq = frequency of internal oscillator
*****************************************************************
ain clk cntl_in 0 out pulse2
*****************************************************************
* create output by sampeling the control signal every positive
* edge of the clk and firing a square with a width determined by the
* the controlling input voltage:
* vin <= 0.01 then width = 0.01/freq (duty cycle 0.01);
* 0.01 < vin < 0.99 then width = vin/freq (duty cycle = vin)
* vi >= 0.99 width = 0.99/freq (duty cycle 0.99)
*****************************************************************
.model pulse2 oneshot(cntl_array = [-2 -1.99 1.99 2]
+ pw_array=[{0.01/freq} {0.01/freq} {0.99/freq} {0.99/freq}]
+ clk_trig = 0.5 pos_edge_trig = TRUE
+ out_low = 0 out_high = 1
+ rise_delay = 2.0e-9 fall_delay = 2.0e-9)
*****************************************************************
* create clock signal
*****************************************************************
Vclk clk gnd dc 0 pulse(0 1 10n 10n 10n {1/(freq*2)} {1/freq})
*****************************************************************
* digital output
abridge2 [out] [dout] adc_buff
.model adc_buff adc_bridge(in_low = 0.5 in_high = 0.5)
.ends
.subckt DAudioDriver ain lo+ lo- hi+ hi- params: freq = 317k dtime = 50n voutp = 12 voutn = 0
* the intrinsic pwm
*apwm1 ain dfast1 pwm_osc
*.model pwm_osc d_pwm(cntl_array = [-2 -1.99 1.99 2]
*+ dc_array = [0.1 0.1 0.9 0.9]
*+ frequency = {freq} init_phase = 90.0
* the one-shot PWM
Xpwm ain dfast1 pwm_source freq={freq}
a6 dfast1 _d1 inv1
.model inv1 d_inverter(rise_delay = 0.3e-9 fall_delay = 0.3e-9
+ input_load = 0.5e-12)
* equalize d1 and _d1
abuf2 dfast1 d1 buff2
.model buff2 d_buffer(rise_delay = 0.3e-9 fall_delay = 0.3e-9
+ input_load = 0.5e-12)
*** one-shot ***
* buffer
abuf1 dfast1 d2 buff1
.model buff1 d_buffer(rise_delay = {dtime} fall_delay = {dtime}
+ input_load = 0.5e-12)
* one-shot 1->0 output
a9 [dfast1 d2] dos xnor3
.model xnor3 d_xnor(rise_delay = 0.2e-9 fall_delay = 0.2e-9
+ input_load = 0.5e-12)
***
* outputs: non-inverted and inverted, non-overlapping
aand1 [d1 dos] dout1 and1
aand2 [_d1 dos] dout2 and1
.model and1 d_and(rise_delay = 0.4e-9 fall_delay = 0.4e-9
+ input_load = 0.5e-12)
* analog out, differential
abridge1 [dout1] [%vd(lo+ lo-)] dac1
abridge2 [dout2] [%vd(hi+ hi-)] dac1
.model dac1 dac_bridge(out_low = {voutn} out_high = {voutp} out_undef = 0
+ input_load = 5.0e-12 t_rise = 20e-9
+ t_fall = 20e-9)
* test
* do we have overlap?
* aandtest [dout1 dout2] dtest and1
.ends

View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "AudioDriver")(type "KiCad")(uri "${KIPRJMOD}/AudioDriver.kicad_sym")(options "")(descr ""))
)

View File

@ -0,0 +1,236 @@
(kicad_symbol_lib
(version 20231120)
(generator "kicad_symbol_editor")
(generator_version "7.99")
(symbol "VCA810"
(pin_names
(offset 0.127)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "U"
(at 1.27 6.35 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Value" "VCA810"
(at 1.27 3.81 0)
(effects
(font
(size 1.27 1.27)
)
(justify left)
)
)
(property "Footprint" ""
(at 1.27 1.27 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 1.27 3.81 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "High Gain Adjust Range, Wideband and Variable Gain Amplifier"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "single opamp"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "DIP*W7.62mm* SOIC*3.9x4.9mm*P1.27mm* TO*99*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "VCA810_0_1"
(polyline
(pts
(xy -5.08 5.08) (xy 5.08 0) (xy -5.08 -5.08) (xy -5.08 5.08)
)
(stroke
(width 0.254)
(type default)
)
(fill
(type background)
)
)
)
(symbol "VCA810_1_1"
(pin input line
(at -7.62 2.54 0)
(length 2.54)
(name "+"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at 2.54 -7.62 90)
(length 6.35)
(name "GND"
(effects
(font
(size 0.508 0.508)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at 0 -7.62 90)
(length 5.08)
(name "Gain"
(effects
(font
(size 0.508 0.508)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin no_connect line
(at 0 2.54 270)
(length 2.54) hide
(name "NC"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "4"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin output line
(at 7.62 0 180)
(length 2.54)
(name "~"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "5"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_in line
(at -2.54 7.62 270)
(length 3.81)
(name "V+"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "6"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin power_in line
(at -2.54 -7.62 90)
(length 3.81)
(name "V-"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "7"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -7.62 -2.54 0)
(length 2.54)
(name "-"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "8"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
)
)

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "mult_vca810.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "mult_vca810.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"62c66358-895a-4557-9af0-9d199226cdcd",
""
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,45 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 10u 30m",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": true,
"legend": {
"x": 101,
"y": 34
},
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(77, 175, 74)",
"signal": "V(/gain)",
"trace_type": 257
},
{
"color": "rgb(55, 126, 184)",
"signal": "V(/in)",
"trace_type": 257
},
{
"color": "rgb(228, 26, 28)",
"signal": "V(/out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "VCA810")(type "KiCad")(uri "${KIPRJMOD}/VCA810.kicad_sym")(options "")(descr ""))
)

View File

@ -0,0 +1,110 @@
* VCA810
*****************************************************************************
* (C) Copyright 2012 Texas Instruments Incorporated. All rights reserved.
*****************************************************************************
** This model is designed as an aid for customers of Texas Instruments.
** TI and its licensors and suppliers make no warranties, either expressed
** or implied, with respect to this model, including the warranties of
** merchantability or fitness for a particular purpose. The model is
** provided solely on an "as is" basis. The entire risk as to its quality
** and performance is with the customer.
*****************************************************************************
*
** Released by: WEBENCH(R) Design Center, Texas Instruments Inc.
* Part: VCA810
* Date: 01/15/2014
* Model Type: All In One
* Simulator: TINA-TI
* Simulator Version: 9.3.80.256 SF-TI
* EVM Order Number: N/A
* EVM Users Guide: N/A
* Datasheet: SBOS275F JUNE 2003REVISED DECEMBER 2010
*
* Model Version: 1.0
*
*****************************************************************************
*
* Updates:
*
* Version 1.0 : Based on Pspice macro netlist w/following comments:
* "VCA810 VOLTAGE CONTROLLED AMPLIFIER "MACROMODEL" SUBCIRCUIT
* CREATED 7/30/04 RRS"
* Release to Web
*
*****************************************************************************
* Notes:
* 1. The model still missing dc and noise to be added latter
*****************************************************************************
*
* CONNECTIONS: NON-INVERTING INPUT
* | GROUND
* | | GAIN CONTROL, VC
* | | | OUTPUT
* | | | | POSITIVE SUPPLY VOLTAGE
* | | | | | NEGATIVE SUPPLY VOLTAGE
* | | | | | | INVERTING INPUT
* | | | | | | |
.SUBCKT VCA810 1 2 3 5 6 7 8
* CONTROL VOLTAGE
Q1 7 3 13 P
C1 3 7 1E-12
Q2 7 2 13 P
I1 6 13 384E-6
Q3 10 11 7 N
R2 6 10 2
E1 11 7 POLY(1) (3,0) 0.45 -0.11911
G3 12 0 POLY(1) (10,6) 0 1
R3 12 0 139
C3 12 0 1.145E-9
G1 6 7 POLY(1) (6,10) 13.5102E-3 -0.489
G2 0 7 POLY(1) (6,10) 1.7958E-3 2.939E-3
* INPUT STAGE
Q01 20 1 26 N
C01 1 0 1E-12
Q02 21 8 26 N
C02 8 0 1E-12
R01 20 27 1E3
D01 29 27 DX
D03 6 29 DX
R02 21 28 1E3
D02 24 28 DX
D04 6 24 DX
IS 26 7 2.32E-3
* GAIN STAGE 1
R31 31 0 1E6
G31 31 0 POLY(2) (8,1) (12,0) 0 0 0 0 1.1E-6 0
* GAIN STAGE 2
R41 41 44 20E3
C41 41 44 230.25E-15
G41 41 44 0 31 1E-3
D41 41 43 DX
E41 44 43 POLY(1) (3,0) 100.2 14.87
R42 41 45 20E3
C42 41 45 230.25E-15
G42 41 45 0 31 1E-3
D42 42 41 DX
E42 42 45 POLY(1) (3,0) 100.2 14.87
E43 44 0 6 0 20
E44 0 45 0 7 20
* OUTPUT STAGE
E51 55 0 41 0 50E-3
D53 55 51 DX
D54 52 55 DX
D55 6 53 DX
D56 6 54 DX
D57 7 53 DZ
D58 7 54 DZ
G54 53 7 5 55 50E-3
G53 54 7 55 5 50E-3
V53 51 5 0.1833
V54 5 52 0.1833
G51 5 6 6 55 50E-3
G52 7 5 55 7 50E-3
R53 6 5 20
R54 7 5 20
.MODEL N NPN (IS=1E-12 BF=193)
.MODEL P PNP (IS=1E-12 BF=96)
.MODEL DX D(IS=1E-15 BV=200)
.MODEL DZ D(IS=1E-15 BV=50)
.ENDS
*$

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "generic_opamp_bip.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "generic_opamp_bip.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"7e4dfe8a-5df9-4426-b328-4b07a6aa7235",
"Root"
]
],
"text_variables": {}
}

View File

@ -0,0 +1 @@
.model 1N4002 D (Is=14.11n N=1.984 Rs=33.89E-3 Ikf=94.81 Xti=3 Eg=1.110 Cjo=51.17E-12 M=.2762 Vj=.3905 Fc=.5 Isr=100.0E-12 Nr=2 Bv=100.1 Ibv=10 Tt=4.761E-6)

View File

@ -0,0 +1,69 @@
.SUBCKT LM317 IN ADJ OUT_0
R_R1 VXX IN {RINP}
R_R6 N242982 VYY 10 TC=0,0
R_R5 VZZ VYY {ROUT}
E_ABM1 N242982 0 VALUE { MIN(V(VXX), (V(Vzz)+(ILIM*ROUT))) }
R_R2 N222524 VXX {PSRR*RINP}
R_U1_R2 0 U1_N26728 1G
E_U1_ABM5 U1_N31197 0 VALUE { MIN(V(U1_N26728),
+ MAX(V(IN) - {DROP}, 0)) }
C_U1_C2 0 U1_N26728 1n
R_U1_R1 0 U1_N08257 1G
R_U1_R4 U1_N28933 U1_N26728 10 TC=0,0
R_U1_R5 U1_N31197 N222524 10 TC=0,0
C_U1_C3 0 N222524 1n
X_U1_U2 IN U1_N12783 U1_N12664 U1_UVLO_OK COMPHYS_BASIC_GEN PARAMS:
+ VDD=1 VSS=0 VTHRESH=0.5
C_U1_C1 0 U1_N08257 {1e-6*SQRT(TTRN)}
V_U1_V4 U1_N12783 0 {UVLO}
V_U1_V3 U1_N12664 0 {UHYS}
E_U1_ABM6 U1_EN_OUT 0 VALUE { IF(V(U1_UVLO_OK)> 0.6, {VREF}, 0) }
R_U1_R3 U1_EN_OUT U1_N08257 {3.333e5*SQRT(TTRN)} TC=0,0
E_U1_ABM4 U1_N28933 0 VALUE { V(U1_N08257)*
+ (ABS(V(OUT_0))/(ABS(V(OUT_0)-v(ADJ)))) }
X_U2 0 OUT_0 d_d PARAMS:
X_F1 VZZ OUT_0 IN VYY LM317_TRANS_F1
C_C1 VXX IN {1/(6.28*RINP*POLE)}
C_C2 VXX N222524 {1/(6.28*PSRR*RINP*ZERO)}
C_C3 0 VYY 1n
.PARAM psrr=7.9432e-4 uvlo=0 ilim=2.2 pole=15k rinp=1e7 zero=100e6 rout=0.4m
+ ttrn=1e-4 vref=1.25 uhys=0 drop=.5
.ENDS LM317_TRANS
*$
.SUBCKT LM317_TRANS_F1 1 2 3 4
F_F1 3 4 VF_F1 1
VF_F1 1 2 0V
.ENDS LM317_TRANS_F1
*$
.SUBCKT COMP_BASIC_GEN INP INM Y PARAMS: VDD=1 VSS=0 VTHRESH=0.5
E_ABM Yint 0 VALUE {IF (V(INP) >
+ V(INM), {VDD},{VSS})}
R1 Yint Y 1
C1 Y 0 1n
.ENDS COMP_BASIC_GEN
*$
.SUBCKT COMPHYS_BASIC_GEN INP INM HYS OUT PARAMS: VDD=1 VSS=0 VTHRESH=0.5
EIN INP1 INM1 INP INM 1
EHYS INP1 INP2 VALUE { IF( V(1) > {VTHRESH},-V(HYS),0) }
EOUT OUT 0 VALUE { IF( V(INP2)>V(INM1), {VDD} ,{VSS}) }
R1 OUT 1 1
C1 1 0 5n
RINP1 INP1 0 1K
.ENDS COMPHYS_BASIC_GEN
*$
.SUBCKT COMPHYS2_BASIC_GEN INP INM HYS OUT PARAMS: VDD=1 VSS=0 VTHRESH=0.5
+ T=10
EIN INP1 INM1 INP INM 1
EHYS INM2 INM1 VALUE { IF( V(1) > {VTHRESH},-V(HYS)/2,V(HYS)/2) }
EOUT OUT 0 VALUE { IF( V(INP1)>V(INM2), {VDD} ,{VSS}) }
R1 OUT 1 1
C1 1 0 {T*1e-9}
RINP1 INP1 0 10K
RINM2 INM2 0 10K
.ENDS COMPHYS2_BASIC_GEN
*$
.SUBCKT D_D 1 2
D1 1 2 DD
.MODEL DD D (IS=1E-015 N=0.01 TT=1e-011)
.ENDS D_D
*$

View File

@ -0,0 +1,7 @@
.subckt D_Bridge_+-AA 1 2 3 4
D1 3 1 1N4001
D2 4 1 1N4001
D3 2 3 1N4001
D4 2 4 1N4001
.MODEL 1N4001 D(IS=2.55E-9 RS=0.042 N=1.75 TT=5.76E-6 CJO=1.85E-11 VJ=0.75 M=0.333 BV=50 IBV=1E-5)
.ends D_Bridge_+-AA

View File

@ -0,0 +1,416 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.1,
"copper_line_width": 0.2,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"other_line_width": 0.15,
"silk_line_width": 0.15,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"rules": {
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0
},
"track_widths": [],
"via_dimensions": []
},
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "power_supply.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.25,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 0.0,
"text_offset_ratio": 0.08
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": "power_supply.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "C:\\Spice64\\bin\\ngspice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"32667662-ae86-4904-b198-3e95f11851bf",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,38 @@
{
"last_sch_text_sim_command": ".tran 1m 500m",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 1m 500m",
".kicad adjustpaths",
".save all",
".probe alli",
".probe allp"
],
"dottedSecondary": false,
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(255, 127, 0)",
"signal": "V(/rect)",
"trace_type": 257
},
{
"color": "rgb(152, 78, 163)",
"signal": "V(out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,7 @@
.SUBCKT XFORMER 1 2 3 4
Rp 1 11 0.1
Rs 3 33 1
L1 11 2 50
L2 33 4 500m
K1 L1 L2 1
.ends

View File

@ -0,0 +1,22 @@
* Simple generic single-pole OpAmp model
* Parameters are pole frequency, gain, offset, output resistance.
* the output is limited to the supply voltage
* Author Holger Vogt, Public Domain
.subckt genopa1 in+ in- vcc vee out params: POLE=20 GAIN=20k VOFF=10m ROUT=10
* add offset voltage
Voff in+ inoff dc {VOFF}
* gain stage with RC pole
G10 0 int inoff in- 100u
R1 int 0 {GAIN/100u}
C1 int 0 {1/(6.28*(GAIN/100u)*POLE)}
* output decoupling, output resistance
Eout 2 0 int 0 1
Rout 2 out {ROUT}
* output limited to vee, vcc
Elow lee 0 vee 0 1
Ehigh lcc 0 vcc 0 1
Dlow lee int Dlimit
Dhigh int lcc Dlimit
.model Dlimit D N=0.01
*
.ends

View File

@ -0,0 +1,142 @@
(kicad_symbol_lib (version 20230620) (generator kicad_symbol_editor)
(symbol "PWM" (pin_names (offset 1.016) hide) (exclude_from_sim no) (in_bom yes) (on_board yes)
(property "Reference" "A1" (at -3.81 1.7146 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "PWM" (at -3.81 -0.8254 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "https://ngspice.sourceforge.io/docs.html" (at 0 16.51 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Description" "Voltage-dependent Voltage source symbol for simulation only" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Sim.Library" "pwm2_model.lib" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Sim.Name" "pwm" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Sim.Device" "SUBCKT" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Sim.Pins" "1=out+ 2=out- 3=in+ 4=in-" (at -27.94 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Sim.Params" "freq=100k vlo=0.7 vhi=3.5" (at -16.51 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "simulation" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "PWM_0_1"
(polyline
(pts
(xy 0 -1.27)
(xy 0 -2.286)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0.254 -1.778)
(xy 0 -1.27)
(xy -0.254 -1.778)
)
(stroke (width 0) (type default))
(fill (type none))
)
(circle (center 0 0) (radius 2.54)
(stroke (width 0.254) (type default))
(fill (type background))
)
)
(symbol "PWM_1_1"
(polyline
(pts
(xy 0.254 3.81)
(xy 0.762 3.81)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0.508 4.064)
(xy 0.508 3.556)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 1.905 -3.175)
(xy 3.175 -1.905)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 5.334 3.81)
(xy 5.842 3.81)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 5.588 4.064)
(xy 5.588 3.556)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 6.985 1.905)
(xy 8.255 3.175)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 6.985 3.175)
(xy 8.255 3.175)
(xy 8.255 1.905)
)
(stroke (width 0) (type default))
(fill (type none))
)
(circle (center 5.08 0) (radius 2.54)
(stroke (width 0.254) (type default))
(fill (type background))
)
(text "V" (at 5.08 -0.254 0)
(effects (font (size 1.27 1.27)))
)
(pin input line (at 0 5.08 270) (length 2.54)
(name "N+" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin input line (at 0 -5.08 90) (length 2.54)
(name "N-" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 5.08 270) (length 2.54)
(name "C+" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 5.08 -5.08 90) (length 2.54)
(name "C-" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
)
)
)

View File

@ -0,0 +1,19 @@
* PWM model analog in and out
* Input: 0 - 1 V
* Output: Vlo, Vhi
* Frequency: freq
.subckt pwm out+ out- in+ in- params: freq = 100k vlo=0.7 vhi=3.5
* the pwm (analog in, digital out)
a5 %vd (in+ in-) dout pwm_osc
.model pwm_osc d_pwm(cntl_array = [0 0.01 0.99 1]
+ dc_array = [0.01 0.01 0.99 0.99]
+ frequency = {freq} init_phase = 90.0
+ rise_delay = 2e-9 fall_delay=2e-9)
* the D to A converter
abridge1 [dout] %vd[out+ out-] dac1
.model dac1 dac_bridge(out_low = {vlo} out_high = {vhi} out_undef = {oundef}
+ input_load = 5.0e-12 t_rise = 2e-9
+ t_fall = 2e-9)
.param oundef={(vhi-vlo)/2}
.ends

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "smps-com.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "smps-com.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": true,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"566bf9ad-05d9-4e09-9cf5-c84b6117df9c",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,50 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 1u 18m 0 1u uic",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": true,
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(55, 126, 184)",
"cursor1": {
"position": 0.012834782608695654,
"x_format": "3~s",
"y_format": "3~V"
},
"cursor2": {
"position": 0.01727608695652174,
"x_format": "3~s",
"y_format": "3~V"
},
"cursorD": {
"x_format": "3~s",
"y_format": "3~V"
},
"signal": "V(/out)",
"trace_type": 257
},
{
"color": "rgb(228, 26, 28)",
"signal": "V(/vcont)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,5 @@
(sym_lib_table
(version 7)
(lib (name "PWM_Library")(type "KiCad")(uri "${KIPRJMOD}/PWM_Library.kicad_sym")(options "")(descr ""))
(lib (name "PWM")(type "KiCad")(uri "${KIPRJMOD}/PWM.kicad_sym")(options "")(descr ""))
)

View File

@ -0,0 +1,16 @@
* VDMOS models
* no. 1
.model IRF9540_1 vdmos pchan VTO=-3.192 RS=0.05098 KP=13.966 RD=0.0985 RG=21.486 mfg=International_Rectifier Vds=-100 CGDMAX=2.00n CGDMIN=2.00E-11 Cjo=5.13E-10 IS=2.39e-27 Rb=0.0447 TT=1.465e-07 Cgs=1.27E-09 Ksubthres=0.1
* no. 2
.model IRF9540_2 vdmos (pchan VTO=-3.192 RS=0.05098 KP=13.966 RD=0.0985 RG=21.486 mfg=International_Rectifier Vds=-100 CGDMAX=2.00n CGDMIN=2.00E-11 Cjo=5.13E-10 IS=2.39e-27 Rb=0.0447 TT=1.465e-07 Cgs=1.27E-09 Ksubthres=0.1)
* no. 3
.model IRF9540_3 vdmos ( pchan VTO=-3.192 RS=0.05098 KP=13.966 RD=0.0985 RG=21.486 mfg=International_Rectifier Vds=-100 CGDMAX=2.00n CGDMIN=2.00E-11 Cjo=5.13E-10 IS=2.39e-27 Rb=0.0447 TT=1.465e-07 Cgs=1.27E-09 Ksubthres=0.1 )
* no. 4
.model IRF540 vdmos VTO=3.542 RS=0.03646 KP=35.149 RD=0.0291 RG=6 mfg=International_Rectifier Vds=100 CGDMAX=2.70n CGDMIN=4.00E-11 Cjo=4.76E-10 IS=1.32p Rb=0.01 TT=2.305e-07 Cgs=1.54E-09 Ksubthres=0.1
* no. 5
.model IRF540N vdmos nchan VTO=3.708 RS=0.03657 KP=83.934 RD=0.0031 RG=15.08 mfg=International_Rectifier Vds=100 CGDMAX=4.00n CGDMIN=8.00E-11 Cjo=1.87E-10 IS=2.84e-15 Rb=0.0014 TT=2.179e-07 Cgs=1.21E-09 Ksubthres=0.1

View File

@ -0,0 +1,414 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.1,
"copper_line_width": 0.2,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"other_line_width": 0.15,
"silk_line_width": 0.15,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15
},
"diff_pair_dimensions": [],
"drc_exclusions": [],
"rules": {
"min_copper_edge_clearance": 0.0,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0
},
"track_widths": [],
"via_dimensions": []
},
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "error",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "buck_conv.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "buck_conv.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"06b3cdeb-0ab8-40f0-ad85-5d97ad7ae2be",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,36 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 1u 10m 0 1u uic",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": false,
"margins": {
"bottom": 45,
"left": 70,
"right": 140,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(55, 126, 184)",
"signal": "V(/VIN)",
"trace_type": 257
},
{
"color": "rgb(228, 26, 28)",
"signal": "V(/VOUT)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,103 @@
*****************************************************************
* GaN Systems Inc. Power Transistors *
* LTSpice Library for GaN Transistors *
* Version 3.1 *
* *
*****************************************************************
*****************************************************************
* *
* Models provided by GaN Systems Inc. are not warranted by *
* GaN Systems Inc. as fully representing all of the *
* specifications and operating characteristics of the *
* semiconductor product to which the model relates. The model *
* describes the characteristics of a typical device. *
* In all cases, the current data sheet information for a given *
* device is the final design guideline and the only actual *
* performance specification. *
* Although models can be a useful tool in evaluating the devices*
* performance, they cannot model exact device performance under *
* all conditions, nor are they intended to replace bread- *
* boarding for final verification. GaN Systems Inc. therefore *
* does not assume any liability arising from their use. *
* GaN Systems Inc. reserves the right to change models without *
* prior notice. *
* *
* This library contains models of the following GaN Systems *
* Inc. transistors: *
* *
* GS-065-018-2-L *
*****************************************************************
*Level. This level focus on the simulation speed.
*
*
.subckt GaN_PSpice_GS-065-018-2-L_L1V3P3 gatein drainin sourcein source_S
*
*
.param sf=1.93706
.param rTC=-0.004 gan_res={58e-3} metal_res={10.43e-3 + 5.1e-3} gtc=2.915 sh_s = 0.05263 sh_d = 0.94376
.param cur=0.0319 x0_0=1.1 x0_1=0.3 x0_2=1.0 thr = 1.7 itc=0.147 atc=30.83459808
*
*
rd drainin drain {sh_d*(metal_res*(1-1*rTc*(Temp-25)) + gan_res*PWR((Temp+273)/298,gtc)) }
rs sourcein source {sh_s * (metal_res*(1-1*rTc*(Temp-25)) + gan_res*PWR((Temp+273)/298,gtc)) }
RSS source_S source {0.035}
rg gatein gate {1.4+0.065}
*
*
Rcsdconv drain source {4000Meg}
Rcgsconv gate source {4000Meg}
Rcgdconv gate drain {4000Meg}
*
*
gswitch drain2 source2 value { (if(v(drain2,source2)>0,
+ (cur*(-(Temp-25)*itc+atc)*log(1.0+exp(26*(v(gate,source2)-thr)))*
+ v(drain2,source2)/(1 + max(x0_0+x0_1*(v(gate,source2)+x0_2),0.2)*v(drain2,source2))),
+ (-cur*(-(Temp-25)*itc+atc)*log(1.0+exp(26*(v(gate,drain2)-thr)))*
+ v(source2, drain2)/(1 + max(x0_0+x0_1*(v(gate,drain2)+x0_2),0.2)*v(source2,drain2))))) * sf}
*
*
R_drain2 drain2 drain {(1e-4)}
R_source2 source2 source {(1e-4)}
*
*
C_GS1 gate source {6.8e-11 * sf}
E_IGS1 tl_gs1 bl_gs1 Value ={ ( -2.65e-11*(1-1./(1+exp(0.07*(-v(drain, source)+20))))
+ -0.37e-10*(-0.682+0.66/(1+exp(0.11*(-v(drain, source)+.01))))) * v(gate,source) * sf }
V_INGS1 br_gs1 bl_gs1 {0.0}
C_IGS1 br_gs1 tr_gs1 {1.0e-6}
R_IGS1 tr_gs1 tl_gs1 {1e-3}
F_IGS1 gate source V_INGS1 {1.0e6}
R_IGS12 bl_gs1 source {100Meg}
*
*
E_IGS2 tl_gs2 bl_gs2 Value={ 3.15e-011*log(1+exp(8*(v(gate,source)-0.9))) * sf }
V_INGS2 br_gs2 bl_gs2 {0.0}
C_IGS2 br_gs2 tr_gs2 {1.0e-6}
R_IGS2 tr_gs2 tl_gs2 {1e-3}
F_IGS2 gate source V_INGS2 {1.0e6}
R_IGS22 bl_gs2 source {100Meg}
*
*
C_GD gate drain {0.35e-012 * sf}
E_IGD tl_gd bl_gd Value={ 375e-12*log(1+exp(0.07*(v(gate,drain)+20))) * sf }
V_INGD br_gd bl_gd {0.0}
C_IGD br_gd tr_gd {1.0e-6}
R_IGD tr_gd tl_gd {1e-3}
F_IGD gate drain V_INGD {1.0e6}
R_IGD2 bl_gd drain {100Meg}
*
*
C_SD source drain {2e-011 * sf}
E_ISD tl_sd bl_sd Value={ 0.85e-9*log(1+exp(0.085*(v(source,drain)+63))) * sf
+ +1.45e-9*log(1+exp(0.025*(v(source,drain)+180))) * sf
+ -2.65e-11*(1-1./(1+exp(0.07*(v(source,drain)+20))) * sf) }
V_INSD br_sd bl_sd {0.0}
C_ISD br_sd tr_sd {1.0e-6}
R_ISD tr_sd tl_sd {1e-3}
F_ISD source drain V_INSD {1.0e6}
R_ISD2 bl_sd drain {100Meg}
*
*
.ends
*$

View File

@ -0,0 +1,53 @@
{
"last_sch_text_sim_command": ".tran 200n 120m\n.option chgtol=1e-11 reltol=0.01 method=gear",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 200n 120m\n.option chgtol=1e-11 reltol=0.01 method=gear",
".kicad adjustpaths",
".save all",
".probe alli",
".probe allp"
],
"dottedSecondary": false,
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [
{
"expr": "AVG V(/out) from=100m to=120m",
"format": "2~V"
},
{
"expr": "AVG P(R1)",
"format": "2~W"
},
{
"expr": "AVG P(V1)",
"format": "2~W"
}
],
"showGrid": true,
"traces": [
{
"color": "rgb(231, 138, 195)",
"signal": "V(/out)",
"trace_type": 257
},
{
"color": "rgb(166, 216, 84)",
"signal": "abs(v1:power)",
"trace_type": 256
}
]
}
],
"user_defined_signals": [
"abs(v1:power)"
],
"version": 6
}

View File

@ -0,0 +1,997 @@
*
* File : silicon_carbide_schottky_v9.LIB
* Revision : 9.0
* Date : DEC 2021
**********************************************************************
*Please Read Carefully:
*Information in this document is provided solely in connection with ST products.
*STMicroelectronics NV and its subsidiaries (“ST”) reserve the right to make :
*changes, corrections, modifications or improvements, to this document,
*and the products and services described herein at any time, without notice.
*All ST products are sold pursuant to STs terms and conditions of sale.
*Purchasers are solely responsible for the choice, selection and use of the ST products, and services described herein,
*and ST assumes no liability whatsoever relating to the choice, selection or use of the ST products and services described herein.
*No license, express or implied, by estoppel or otherwise, to any intellectual property rights is granted under this document.
*If any part of this document refers to any third party products xor
*services it shall not be deemed a license grant by ST for the use of such third party products or
*services, or any intellectual property contained therein or
*considered as a warranty covering the use in any manner whatsoever of such third party products or
*services or any intellectual property contained therein.
*UNLESS OTHERWISE SET FORTH IN STS TERMS AND CONDITIONS OF SALE ST DISCLAIMS ANY EXPRESS OR IMPLIED
*WARRANTY WITH RESPECT TO THE USE AND/OR SALE OF ST PRODUCTS INCLUDING WITHOUT LIMITATION IMPLIED
*WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE (AND THEIR EQUIVALENTS UNDER THE LAWS
*OF ANY JURISDICTION), OR INFRINGEMENT OF ANY PATENT, COPYRIGHT OR OTHER INTELLECTUAL PROPERTY RIGHT.
*UNLESS EXPRESSLY APPROVED IN WRITING BY AN AUTHORIZED ST REPRESENTATIVE, ST PRODUCTS ARE NOT
*RECOMMENDED, AUTHORIZED OR WARRANTED FOR USE IN MILITARY, AIR CRAFT, SPACE, LIFE SAVING, OR LIFE SUSTAINING
*APPLICATIONS, NOR IN PRODUCTS OR SYSTEMS WHERE FAILURE OR MALFUNCTION MAY RESULT IN PERSONAL INJURY,
*DEATH, OR SEVERE PROPERTY OR ENVIRONMENTAL DAMAGE. ST PRODUCTS WHICH ARE NOT SPECIFIED AS "AUTOMOTIVE
*GRADE" MAY ONLY BE USED IN AUTOMOTIVE APPLICATIONS AT USERS OWN RISK.
*Resale of ST products with provisions different from the statements and/or technical features
*set forth in this document shall immediately void any warranty granted by ST for the ST product or
*service described herein and shall not create or extend in any manner whatsoever, any liability of ST.
*ST and the ST logo are trademarks or registered trademarks of ST in various countries.
*Information in this document supersedes and replaces all information previously supplied.
*The ST logo is a registered trademark of STMicroelectronics.
*All other names are the property of their respective owners.
*
*© 2006 STMicroelectronics - All rights reserved
*
*STMicroelectronics group of companies
*Australia - Belgium - Brazil - Canada - China - Czech Republic - Finland - France
*Germany - Hong Kong - India - Israel - Italy - Japan - Malaysia - Malta - Morocco
*Singapore - Spain - Sweden - Switzerland - United Kingdom - United States of America
*www.st.com
*
*******************************************************************
* POWER SCHOTTKY SiC DIODE 600V 60
* POWER SCHOTTKY SiC DIODE 650V 166
* POWER SCHOTTKY SiC DIODE 1200V 689
* POWER SCHOTTKY SiC DIODE 1300V 935
*******************************************************************
*******************************************************************
* POWER SCHOTTKY SiC DIODE 600V
*******************************************************************
*******************************************************************
* Model name : STPSC406D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC406D D
+ IS=10.000E-21 N=.70243 RS=.18245 IKF=185.81 XTI=2 EG=3.26 CJO=190.97E-12
+ M=.44869 VJ=.96959 ISR=15.036E-9 NR=4.9950 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC406B-TR
* Description : Power Schottky SiC rectifier
* Package type : DPAK
*******************************************************************
.MODEL STPSC406B-TR D
+ IS=10.000E-21 N=.70243 RS=.18245 IKF=185.81 XTI=2 EG=3.26 CJO=190.97E-12
+ M=.44869 VJ=.96959 ISR=15.036E-9 NR=4.9950 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC606D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC606D D
+ IS=548.81E-18 N=.99749 RS=78.375E-3 IKF=996.79 XTI=2 EG=3.26 CJO=360.14E-12
+ M=.45014 VJ=.95033 ISR=6.5847E-9 NR=4.9950 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC606G-TR
* Description : Power Schottky SiC rectifier
* Package type : D²PAK
*******************************************************************
.MODEL STPSC606G-TR D
+ IS=548.81E-18 N=.99749 RS=78.375E-3 IKF=996.79 XTI=2 EG=3.26 CJO=360.14E-12
+ M=.45014 VJ=.95033 ISR=6.5847E-9 NR=4.9950 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC806D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC806D D
+ IS=669.44E-18 N=1.0007 RS=52.685E-3 IKF=956.57 EG=3.26 XTI=2 CJO=447.94E-12
+ M=.46633 VJ=.93433 ISR=135.59E-9 NR=3.7748 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC1006D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC1006D D
+ IS=3.1022E-15 N=1.0304 RS=47.951E-3 IKF=773.29 XTI=2 EG=3.26 CJO=654.04E-12
+ M=.46875 VJ=.96902 ISR=9.0046E-9 NR=4.9950 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC1206D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC1206D D
+ IS=2.7762E-15 N=1.0262 RS=38.647E-3 IKF=74.814 XTI=2 EG=3.26 CJO=728.14E-12
+ M=.46636 VJ=1.0002 ISR=98.644E-9 NR=3.0260 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC1206D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC1206Dte D
+ IS=2.7762E-15 N=1.0262 RS=38.647E-3 IKF=74.814 XTI=2 EG=3.26 CJO=728.14E-12
+ M=.46636 VJ=1.0002 ISR=98.644E-9 NR=3.0260 FC=0.5 TT=0
*$
*******************************************************************
* Model name : STPSC2006CW
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC2006CW anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC2006CW 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=2.9521E-15 N=1.0150 RS=49.305E-3 IKF=1.0000E3 CJO=628.09E-12 M=.47423
+ VJ=.98071 ISR=150.74E-9 NR=4.6460 fc=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* POWER SCHOTTKY SiC DIODE 650V
*******************************************************************
*******************************************************************
* Model name : STPSC2H065B
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC2H065B anode
* | cathode
* | |
.subckt STPSC2H065B 3 4
d1 3 4 dx
.model dx D(IS=120.94E-21 N=.87973 RS=.18752 IKF=1.0000E3 CJO=134.65E-12 M=.42566
+ VJ=1.3834 ISR=43.192E-9 NR=2.8756 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC4C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC4C065D-L D
+ IS=10.000E-21 N=.75911 RS=.16868 IKF=410.85 CJO=169.63E-12 M=.42856
+ VJ=1.2769 ISR=11.168E-9 NR=3.4763 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC4H065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC4H065D D
+ IS=1.9848E-15 N=1.1121 RS=.13786 IKF=503.11 CJO=203.01E-12 M=.40956
+ VJ=1.4591 ISR=96.358E-9 NR=3.1198 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC4H065DLF
* Description : Power Schottky SiC rectifier
* Package type : PowerFlat8*8
*******************************************************************
.MODEL STPSC4H065DLF D
+ IS=10.000E-21 N=.73941 RS=.11717 IKF=316.44 CJO=243.35E-12 M=.42636
+ VJ=1.3224 ISR=80.967E-9 NR=2.1660 TT=0
+ XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC4H065B-TR
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC4H065B-TR anode
* | cathode
* | |
.subckt STPSC4H065B-TR 3 4
d1 3 4 dx
.model dx D(IS=1.9848E-15 N=1.1121 RS=.13786 IKF=503.11 CJO=203.01E-12 M=.40956
+ VJ=1.4591 ISR=96.358E-9 NR=3.1198 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC6C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC6C065D-L D
+ IS=175.01E-18 N=1.0028 RS=95.661E-3 IKF=999.94 CJO=267.13E-12 M=.40967
+ VJ=1.1027 ISR=67.274E-9 NR=4.2782 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC6H065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC6H065D D
+ IS=1.0831E-15 N=1.0873 RS=92.529E-3 IKF=1.8184 CJO=289.19E-12 M=.44088
+ VJ=1.6095 ISR=34.491E-9 NR=2.6336 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC6H065DLF
* Description : Power Schottky SiC rectifier
* Package type : PowerFlat8*8
*******************************************************************
.MODEL STPSC6H065DLF D
+ IS=200.30E-21 N=.81908 RS=71.183E-3 IKF=999 CJO=351.70E-12 M=.4361
+ VJ=1.3668 ISR=135.30E-9 NR=2.0084 TT=0
+ XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC6H065B-TR
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC6H065B-TR anode
* | cathode
* | |
.subckt STPSC6H065B-TR 3 4
d1 3 4 dx
.model dx D(IS=1.0831E-15 N=1.0873 RS=92.529E-3 IKF=1.8184 CJO=289.19E-12 M=.44088
+ VJ=1.6095 ISR=34.491E-9 NR=2.6336 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC6H065G-TR
* Description : Power Schottky rectifier
* Package type : D²PAK in tape&reel
*******************************************************************
*model STPSC6H065G-TR anode
* | cathode
* | |
.subckt STPSC6H065G-TR 3 4
d1 3 4 dx
.model dx D(IS=1.0831E-15 N=1.0873 RS=92.529E-3 IKF=1.8184 CJO=289.19E-12 M=.44088
+ VJ=1.6095 ISR=34.491E-9 NR=2.6336 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=D²PAK Delivery = Tape & reel
.ends
*******************************************************************
* Model name : STPSC8C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC8C065D-L D
+ IS=1.3002E-18 N=.84468 RS=75.035E-3 IKF=999 CJO=387.43E-12 M=.44027
+ VJ=1.2639 ISR=89.874E-9 NR=2.1667 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC8H065CT
* Description : High Voltage Power Schottky rectifier
* Package type : TO-220AB
*******************************************************************
*model STPSC8H065CT anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC8H065CT 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=1.9848E-15 N=1.1121 RS=.13786 IKF=503.11 CJO=203.01E-12 M=.40956
+ VJ=1.4591 ISR=96.358E-9 NR=3.1198 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO-220AB Delivery = Tube
.ends
*******************************************************************
* Model name : STPSC8H065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC8H065D D
+ IS=15.653E-18 N=.93123 RS=77.690E-3 IKF=1.0000E3 CJO=409.87E-12 M=.43606
+ VJ=1.5432 ISR=54.323E-9 NR=1.8317 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC8H065DLF
* Description : Power Schottky SiC rectifier
* Package type : PowerFlat8*8
*******************************************************************
.MODEL STPSC8H065DLF D
+ IS=10.000E-21 N=.74615 RS=55.316E-3 IKF=999 CJO=461.36E-12 M=.43378
+ VJ=1.3005 ISR=187.77E-9 NR=4.9950 TT=0
+ XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC8H065B-TR
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC8H065B-TR anode
* | cathode
* | |
.subckt STPSC8H065B-TR 3 4
d1 3 4 dx
.model dx D(IS=15.653E-18 N=.93123 RS=77.690E-3 IKF=1.0000E3 CJO=409.87E-12 M=.43606
+ VJ=1.5432 ISR=54.323E-9 NR=1.8317 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC8H065G-TR
* Description : Power Schottky rectifier
* Package type : D²PAK in tape&reel
*******************************************************************
*model STPSC8H065G-TR anode
* | cathode
* | |
.subckt STPSC8H065G-TR 3 4
d1 3 4 dx
.model dx D(IS=15.653E-18 N=.93123 RS=77.690E-3 IKF=1.0000E3 CJO=409.87E-12 M=.43606
+ VJ=1.5432 ISR=54.323E-9 NR=1.8317 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=D²PAK Delivery = Tape & reel
.ends
*******************************************************************
* Model name : STPSC8065D
* Description : power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC8065D D
+ IS=1.2130E-15 N=1.0679 RS=37.107E-3 IKF=327.57 CJO=521.46E-12 M=.43648
+ VJ=1.2571 ISR=49.077E-9 NR=2.6209 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC10C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC10C065D-L D
+ IS=61.024E-18 N=.9221 RS=50.339E-3 IKF=999 CJO=453.35E-12 M=.43858
+ VJ=1.2818 ISR=73.998E-9 NR=3.0192 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC10H065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC10H065D D
+ IS=510.14E-21 N=.94967 RS=54.909E-3 IKF=1.0000E3 CJO=483.36E-12 M=.44365
+ VJ=1.7167 ISR=55.766E-9 NR=2.8818 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC10H065DLF
* Description : Power Schottky SiC rectifier
* Package type : Power Flat 8*8
*******************************************************************
.MODEL STPSC10H065DLF D
+ IS=384.75E-18 N=1.0293 RS=34.076E-3 IKF=574.71 CJO=597.48E-12 M=.42327
+ VJ=1.1804 ISR=245.45E-9 NR=2.7261 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC10H065B-TR
* Description : Power Schottky SiC rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC10H065B-TR anode
* | cathode
* | |
.subckt STPSC10H065B-TR 3 4
d1 3 4 dx
.model dx D(IS=510.14E-21 N=.94967 RS=54.909E-3 IKF=1.0000E3 CJO=483.36E-12 M=.44365
+ VJ=1.7167 ISR=55.766E-9 NR=2.8818 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC10H065G-TR
* Description : Power Schottky rectifier
* Package type : D²PAK in tape&reel
*******************************************************************
*model STPSC10H065G-TR anode
* | cathode
* | |
.subckt STPSC10H065G-TR 3 4
d1 3 4 dx
.model dx D(IS=510.14E-21 N=.94967 RS=54.909E-3 IKF=1.0000E3 CJO=483.36E-12 M=.44365
+ VJ=1.7167 ISR=55.766E-9 NR=2.8818 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=D²PAK Delivery = Tape & reel
.ends
*******************************************************************
* Model name : STPSC10H065FP
* Description : Power Schottky SiC rectifier
* Package type : TO-220FP
*******************************************************************
.MODEL STPSC10H065FP D
+ IS=510.14E-21 N=.94967 RS=54.909E-3 IKF=1.0000E3 CJO=483.36E-12 M=.44365
+ VJ=1.7167 ISR=55.766E-9 NR=2.8818 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC10065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC10065D D
+ IS=3.5158E-15 N=1.1047 RS=27.657E-3 IKF=18.928 CJO=670.65E-12 M=.44145
+ VJ=1.2574 ISR=154.66E-9 NR=2.8944 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC12065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC12065D D
+ IS=1.9245E-15 N=1.0670 RS=25.745E-3 IKF=7.2390 CJO=726.63E-12 M=.45631
+ VJ=1.2565 ISR=282.07E-9 NR=2.9420 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC12C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC12C065D-L D
+ IS=1.3751E-15 N=1.0586 RS=50.779E-3 IKF=232.74 CJO=533.26E-12 M=.41939
+ VJ=1.1507 ISR=56.398E-9 NR=3.2958 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC12H065CT
* Description : Power Schottky silicon carbide diode
* Package type : TO-220AB
*******************************************************************
*model STPSC12H065CT anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC12H065CT 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=56.099E-21 N=.77797 RS=.10031 IKF=1.0000E3 EG=3.2600 CJO=301.80E-12 M=.33678
+ VJ=.3905 ISR=25.848E-12 NR=4.9950 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO-220AB Delivery = Tube
.ends
*******************************************************************
* Model name : STPSC12H065CFP
* Description : Power Schottky silicon carbide diode
* Package type : TO-220FP
*******************************************************************
*model STPSC12H065CFP anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC12H065CFP 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=56.099E-21 N=.77797 RS=.10031 IKF=1.0000E3 EG=3.2600 CJO=301.80E-12 M=.33678
+ VJ=.3905 ISR=25.848E-12 NR=4.9950 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO-220FP Delivery = Tube
.ends
*******************************************************************
* Model name : STPSC12H065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC12H065D D
+ IS=1.0773E-14 N=1.0548 RS=50.078E-3 IKF=8.2204 CJO=602.01E-12 M=.43897
+ VJ=1.6031 ISR=201.30E-8 NR=2.6372 FC=0.5 TT=0 XTI=2 EG=3.26
*$
*******************************************************************
* Model name : STPSC12H065B-TR
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC12H065B-TR anode
* | cathode
* | |
.subckt STPSC12H065B-TR 3 4
d1 3 4 dx
.model dx D(IS=1.0773E-15 N=1.0548 RS=50.078E-3 IKF=8.2204 CJO=602.01E-12 M=.43897
+ VJ=1.6031 ISR=201.30E-9 NR=2.6372 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC12H065G-TR
* Description : Power Schottky rectifier
* Package type : D²PAK in tape&reel
*******************************************************************
*model STPSC12H065G-TR anode
* | cathode
* | |
.subckt STPSC12H065G-TR 3 4
d1 3 4 dx
.model dx D(IS=1.0773E-15 N=1.0548 RS=50.078E-3 IKF=8.2204 CJO=602.01E-12 M=.43897
+ VJ=1.6031 ISR=201.30E-9 NR=2.6372 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=D²PAK Delivery = Tape & reel
.ends
*******************************************************************
* Model name : STPSC16C065D-L
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC16C065D-L D
+ IS=2.7545E-15 N=1.0418 RS=34.951E-3 IKF=1.0000E3 EG=3.2600 CJO=725.73E-12
+ M=.43703 VJ=1.2721 ISR=56.045E-9 NR=4.5672 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC16H065AW
* Description : Power Schottky SiC rectifier
* Package type : TO-247
*******************************************************************
.MODEL STPSC16H065AW D
+ IS=1.8662E-15 N=1.0557 RS=37.486E-3 IKF=1.0000E3 CJO=763.57E-12 M=.41291
+ VJ=.98398 ISR=261.33E-9 NR=2.3669 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC16H065CT
* Description : Power Schottky SiC rectifier
* Package type : TO-220AB
*******************************************************************
*model STPSC16H065CT anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC16H065CT 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=10.000E-21 N=.72324 RS=74.003E-3 IKF=662.40 CJO=440.60E-12 M=.35832
+ VJ=.47043 ISR=167.74E-9 NR=2.3391 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO-220AB Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC20065D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC20065D D
+ IS=3.6600E-15 N=1.0636 RS=13.497E-3 IKF=5.2496 CJO=1.2707E-9 M=.48038
+ VJ=1.3183 ISR=478.97E-9 NR=2.2672 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC20065DI
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC Ins
*******************************************************************
.MODEL STPSC20065DI D
+ IS=3.6600E-15 N=1.0636 RS=13.497E-3 IKF=5.2496 CJO=1.2707E-9 M=.48038
+ VJ=1.3183 ISR=478.97E-9 NR=2.2672 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC20065W
* Description : Power Schottky SiC rectifier
* Package type : TO-247
*******************************************************************
.MODEL STPSC20065W D
+ IS=3.6600E-15 N=1.0636 RS=13.497E-3 IKF=5.2496 CJO=1.2707E-9 M=.48038
+ VJ=1.3183 ISR=478.97E-9 NR=2.2672 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC20H065CT
* Description : Power Schottky SiC rectifier
* Package type : TO-220AB
*******************************************************************
*model STPSC20H065CT anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC20H065CT 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=9.4625E-18 N=.82669 RS=58.839E-3 IKF=20.421 CJO=541.7E-12 M=.34698
+ VJ=.3905 ISR=278.97E-12 NR=3.3432 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO-220AB Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC20H065CW
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC20H065CW anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC20H065CW 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=9.4625E-18 N=.82669 RS=58.839E-3 IKF=20.421 CJO=541.7E-12 M=.34698
+ VJ=.3905 ISR=278.97E-12 NR=3.3432 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC40065CW
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC40065CW anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC40065CW 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=2.9237E-15 N=1.0528 RS=13.559E-3 IKF=5.2246 CJO=1.2707E-9 M=.48038
+ VJ=1.3183 ISR=30.425E-6 NR=4.0082 TT=0 XTI=2 EG=3.2600 FC=0.5)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* POWER SCHOTTKY SiC DIODE 1200V
*******************************************************************
*******************************************************************
* Model name : STPSC2H12D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC2H12D D
+ IS=10.000E-21 N=.71989 RS=.23903 IKF=685.76 CJO=188.42E-12 M=.41995
+ VJ=1.1533 ISR=13.624E-9 NR=2.2965 TT=0 XTI=2 EG=3.2600 FC=0.5
*$
*******************************************************************
* Model name : STPSC2H12B
* Description : Power Schottky SiC rectifier
* Package type : DPAK HV 2L
*******************************************************************
.MODEL STPSC2H12B D
+ IS=10.000E-21 N=.71989 RS=.23903 IKF=685.76 CJO=188.42E-12 M=.41995
+ VJ=1.1533 ISR=13.624E-9 NR=2.2965 TT=0 XTI=2 EG=3.2600 FC=0.5
*$
*******************************************************************
* Model name : STPSC5H12D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC5H12D D
+ IS=23.367E-18 N=.9983 RS=77.399E-3 IKF=1.0000E3 CJO=454.33E-12 M=.42869
+ VJ=1.1290 ISR=32.063E-9 NR=2.8453 TT=0 XTI=2 EG=3.2600 FC=0.5
*$
*******************************************************************
* Model name : STPSC6H12B-TR1
* Description : Power Schottky rectifier
* Package type : DPAK in tape&reel
*******************************************************************
*model STPSC6H12B-TR1 anode
* | cathode
* | |
.subckt STPSC6H12B-TR1 3 4
d1 3 4 dx
.model dx D(IS=13.528E-21 N=.72931 RS=.11699 IKF=1.0000E3 CJO=281.99E-12 M=.39479
+ VJ=1.0046 ISR=3.5497E-6 NR=4.9950 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC10H12CWL
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC10H12CWL anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC10H12CWL 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=23.367E-18 N=.9983 RS=77.399E-3 IKF=1.0000E3 CJO=453.20E-12 M=.44242
+ VJ=1.3170 ISR=31.573E-9 NR=2.4162 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC10H12D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC10H12D D
+ IS=1.4928E-15 N=1.0069 RS=39.775E-3 IKF=1.0000E3 CJO=721.76E-12 M=.44358
+ VJ=1.3339 ISR=62.986E-9 NR=2.9284 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC10H12G2-TR
* Description : 1200 V, 10 A, silicon carbide power Schottky diode
* Package type : D²PAK HV in tape&reel
*******************************************************************
*model STPSC10H12G2-TR anode
* | cathode
* | |
.subckt STPSC10H12G2-TR 3 4
d1 3 4 dx
.model dx D(IS=1.4928E-15 N=1.0069 RS=39.775E-3 IKF=1.0000E3 CJO=720.76E-12 M=.44739
+ VJ=1.3700 ISR=62.320E-9 NR=2.6327 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC10H12WL
* Description : Power Schottky SiC rectifier
* Package type : DO-247LL
*******************************************************************
.MODEL STPSC10H12WL D
+ IS=1.4928E-15 N=1.0069 RS=39.775E-3 IKF=1.0000E3 CJO=721.76E-12 M=.44358
+ VJ=1.3339 ISR=62.986E-9 NR=2.9284 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC15H12D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC15H12D D
+ IS=6.3295E-15 N=1.0856 RS=22.804E-3 IKF=8.7967 CJO=1.1813E-9 M=.44455
+ VJ=1.3745 ISR=95.226E-9 NR=4.9888 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC15H12G2-TR
* Description : 1200 V, 10 A, silicon carbide power Schottky diode
* Package type : D²PAK HV in tape&reel
*******************************************************************
*model STPSC15H12G2-TR anode
* | cathode
* | |
.subckt STPSC15H12G2-TR 3 4
d1 3 4 dx
.model dx D(IS=6.3295E-15 N=1.0856 RS=22.804E-3 IKF=8.7967 CJO=1.1811E-9 M=.43937
+ VJ=1.3374 ISR=97.000E-9 NR=4.5483 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC15H12WL
* Description : Power Schottky SiC rectifier
* Package type : DO-247LL
*******************************************************************
.MODEL STPSC15H12WL D
+ IS=6.3295E-15 N=1.0856 RS=22.804E-3 IKF=8.7967 CJO=1.1813E-9 M=.44455
+ VJ=1.3745 ISR=95.226E-9 NR=4.9888 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC20H12CWL
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC20H12CWL anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC20H12CWL 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=1.4928E-15 N=1.0069 RS=39.775E-3 IKF=1.0000E3 CJO=721.47E-12 M=.44436
+ VJ=1.3609 ISR=63.258E-9 NR=4.6106 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC20H12D
* Description : Power Schottky SiC rectifier
* Package type : TO-220AC
*******************************************************************
.MODEL STPSC20H12D D
+ IS=30.694E-15 N=1.1357 RS=16.654E-3 IKF=3.5832 CJO=1.6E-9 M=.4315
+ VJ=1.1575 ISR=412.90E-9 NR=4.9461 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC20H12G
* Description : Power Schottky rectifier
* Package type : D²PAK in tape&reel
*******************************************************************
*model STPSC20H12G anode
* | cathode
* | |
.subckt STPSC20H12G 3 4
d1 3 4 dx
.model dx D(IS=30.694E-15 N=1.1357 RS=16.654E-3 IKF=3.5832 CJO=1.6E-9 M=.4315
+ VJ=1.1575 ISR=412.90E-9 NR=4.9461 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=D²PAK Delivery = Tape & reel
.ends
*******************************************************************
* Model name : STPSC20H12G2-TR
* Description : 1200 V, 10 A, silicon carbide power Schottky diode
* Package type : D²PAK HV in tape&reel
*******************************************************************
*model STPSC20H12G2-TR anode
* | cathode
* | |
.subckt STPSC20H12G2-TR 3 4
d1 3 4 dx
.model dx D(IS=41.752E-15 N=1.1270 RS=17.536E-3 IKF=11.227 CJO=1.6708E-9 M=.43557
+ VJ=1.2230 ISR=127.16E-9 NR=4.9950 FC=0.5 TT=0 XTI=2 EG=3.26)
* STMicroelectronics case=DPAK Delivery = tape&reel
.ends
*******************************************************************
* Model name : STPSC20H12WL
* Description : Power Schottky SiC rectifier
* Package type : DO-247LL
*******************************************************************
.MODEL STPSC20H12WL D
+ IS=30.694E-15 N=1.1357 RS=16.654E-3 IKF=3.5832 CJO=1.6E-9 M=.4315
+ VJ=1.1575 ISR=412.90E-9 NR=4.9461 TT=0 XTI=2 EG=3.26 FC=0.5
*$
*******************************************************************
* Model name : STPSC30H12CWL
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC30H12CWL anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC30H12CWL 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=3.6351E-15 N=1.0632 RS=24.832E-3 IKF=1.0000E3 CJO=1.1808E-9
+ M=.44199 VJ=1.3671 ISR=96.443E-12 NR=4.9950 TT=0 XTI=2 EG=3.2600)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* Model name : STPSC40H12CWL
* Description : Power Schottky SiC rectifier
* Package type : TO247
*******************************************************************
*model STPSC40H12CWL anode1
* | cathode
* | | anode2
* | | |
.subckt STPSC40H12CWL 1 2 3
d1 1 2 dx
d2 3 2 dx
.model dx D(IS=42.775E-15 N=1.1282 RS=18.693E-3 IKF=999.99 CJO=1.6657E-9 M=.44539
+ VJ=1.3610 ISR=125.72E-9 NR=4.2041 TT=0 XTI=2 EG=3.2600 FC=0.5)
* STMicroelectronics case=TO247 Delivery= Tube
.ends
*******************************************************************
* POWER SCHOTTKY SiC DIODE 1300V
*******************************************************************
*******************************************************************
* Model name : STPSC6H13TI
* Description : Dual 650 V power Schottky silicon carbide diode in series
* Package type : TO220-AB
*******************************************************************
*model STPSC6H13TI anode1
* | cathode1-anode2
* | | cathode2
* | | |
.subckt STPSC6H13TI 1 2 3
d1 3 2 dx
d2 2 1 dx
.model dx D(IS=350.00E-18 N=1.0235 RS=95.314E-3 IKF=1.0000E3 CJO=291.01E-12 M=.32943
+ VJ=.3905 ISR=71.624E-9 NR=2.6093 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO220-AB Delivery = Tube
.ends
*$
*******************************************************************
* Model name : STPSC8H13TI
* Description : Dual 650 V power Schottky silicon carbide diode in series
* Package type : TO220-AB
*******************************************************************
*model STPSC8H13TI anode1
* | cathode1-anode2
* | | cathode2
* | | |
.subckt STPSC8H13TI 1 2 3
d1 3 2 dx
d2 2 1 dx
.model dx D(IS=4.2344E-15 N=1.1161 RS=72.099E-3 IKF=293.19 CJO=174.82E-12 M=.31026
+ VJ=.3905 ISR=118.60E-9 NR=4.9950 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO220-AB Delivery = Tube
.ends
*$
*******************************************************************
* Model name : STPSC10H13TI
* Description : Dual 650 V power Schottky silicon carbide diode in series
* Package type : TO220-AB
*******************************************************************
*model STPSC10H13TI anode1
* | cathode1-anode2
* | | cathode2
* | | |
.subckt STPSC10H13TI 1 2 3
d1 3 2 dx
d2 2 1 dx
.model dx D(IS=5.3933E-15 N=1.1108 RS=61.407E-3 IKF=1.0000E3 CJO=483.83E-12 M=.39737
+ VJ=1.1952 ISR=299.29E-9 NR=3.5129 TT=0 XTI=2 EG=3.26 FC=0.5)
* STMicroelectronics case=TO220-AB Delivery = Tube
.ends
*$
*-------------------------------------
*THANKS TO USE THE ST'S PRODUCTS
*-------------------------------------
* End of library.
*$

View File

@ -0,0 +1,51 @@
.title KiCad schematic
.include "GaN_PSpice_GS-065-018-2-L_L1V3P3.lib"
.include "ST_SILICON_CARBIDE_SCHOTTKY_V9.LIB"
D8 /d7 /d8 STPSC5H12D
D9 /d8 /out STPSC5H12D
C9 /d7 /out 1u
C10 /out 0 1u
R1 /out 0 R = 'TIME > 70m ? 10k : 25k'
D2 /d1 /d2 STPSC5H12D
C3 /d1 /d3 1u
C1 /d1 0 1u
D4 /d3 /d4 STPSC5H12D
C4 /d2 /d4 1u
D3 /d2 /d3 STPSC5H12D
V1 /pwr 0 pulse(0 28 1m 2m 1m 1 1)
C2 /d /d2 1u
D1 /d /d1 STPSC5H12D
XQ1 /g /d 0 0 GaN_PSpice_GS-065-018-2-L_L1V3P3
V2 /g 0 pulse(0 6 1m 20n 20n 2u 4u)
RESR1 Net-_L1-Pad2_ /d 230m
L1 /pwr Net-_L1-Pad2_ 22u
D5 /d4 /d5 STPSC5H12D
C6 /d4 /d6 1u
C5 /d3 /d5 1u
D6 /d5 /d6 STPSC5H12D
C7 /d5 /d7 1u
D7 /d6 /d7 STPSC5H12D
C8 /d6 /d8 1u
.tran 200n 120m
.option chgtol=1e-11 reltol=0.01 method=gear
.probe p(R1) p(V1)
.control
set controlswait
if $?sharedmode
else
run
plot V(/d) V(/d1) V(/d2) V(/d3) V(/d4) V(/d5) V(/d6) V(/d7) V(/d8) V(/out)
plot i(L1)
end
rusage time
let v1power = abs(v1:power)
meas tran pv1 avg v1power from=50m to=70m
meas tran pr1 avg r1:power from=50m to=70m
let eff = 100 * pr1 / pv1
echo efficiency is $&eff %
meas tran pv1_2 avg v1power from=100m to=120m
meas tran pr1_2 avg r1:power from=100m to=120m
let eff = 100 * pr1_2 / pv1_2
echo efficiency is $&eff %
.endc
.end

View File

@ -0,0 +1,394 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "hv_converter.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "HSCConverter4.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "c:\\Spice64\\bin\\ngspice.exe \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"4931f31e-df24-471e-b8c2-848a676344f1",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,19 @@
* DIODES INCORPORATED AND ITS AFFILIATED COMPANIES AND SUBSIDIARIES (COLLECTIVELY, "DIODES")
* PROVIDE THESE SPICE MODELS AND DATA (COLLECTIVELY, THE "SM DATA") "AS IS" AND WITHOUT ANY
* REPRESENTATIONS OR WARRANTIES, EXPRESS OR IMPLIED, INCLUDING ANY WARRANTY OF MERCHANTABILITY
* OR FITNESS FOR A PARTICULAR PURPOSE, ANY WARRANTY ARISING FROM COURSE OF DEALING OR COURSE OF
* PERFORMANCE, OR ANY WARRANTY THAT ACCESS TO OR OPERATION OF THE SM DATA WILL BE UNINTERRUPTED,
* OR THAT THE SM DATA OR ANY SIMULATION USING THE SM DATA WILL BE ERROR FREE. TO THE MAXIMUM
* EXTENT PERMITTED BY LAW, IN NO EVENT WILL DIODES BE LIABLE FOR ANY DIRECT OR INDIRECT,
* SPECIAL, INCIDENTAL, PUNITIVE OR CONSEQUENTIAL DAMAGES ARISING OUT OF OR IN CONNECTION WITH
* THE PRODUCTION OR USE OF SM DATA, HOWEVER CAUSED AND UNDER WHATEVER CAUSE OF ACTION OR THEORY
* OF LIABILITY BROUGHT (INCLUDING, WITHOUT LIMITATION, UNDER ANY CONTRACT, NEGLIGENCE OR OTHER
* TORT THEORY OF LIABILITY), EVEN IF DIODES HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES,
* AND DIODES' TOTAL LIABILITY (WHETHER IN CONTRACT, TORT OR OTHERWISE) WITH REGARD TO THE SM
* DATA WILL NOT, IN THE AGGREGATE, EXCEED ANY SUMS PAID BY YOU TO DIODES FOR THE SM DATA.
*SRC=1N5818;DI_1N5818;Diodes;Si; 30.0V 1.00A 3.00us Diodes Inc. Schottky Barrier Rectifier
.MODEL DI_1N5818 D ( IS=263u RS=73.1m BV=30.0 IBV=1.00m
+ CJO=203p M=0.333 N=1.90 TT=4.32u )

View File

@ -0,0 +1,42 @@
* SPICE model NPN bipolar transistor ***BC337-25***
* Use the symbol file ***bc337-25.asy***
*
* (c) Diotec Semiconductor AG
* www.diotec.com
* 2017-12-13
*
*********************************************************
* This model is for simulation purposes only. It does *
* not replace reviewing of the data sheet nor real life *
* testing of the part inside the application. *
*********************************************************
*
.subckt BC337-25 C B E params: Vceo=45 Vcbo=50 Vebo=5 Ic=800m hfe=400 Ices=2n Vbe=1200m ft=100Meg Ccbo=3.5p Cebo=9p Rc=1 Rb=.1 Re=.1 Eg=1.11 Xti=3
* Above values are an example for the ***BC337-25***. Using the
* above symbol file allows for direct insertion of other values
* according to these data sheet parameters:
*
* Vceo Collector Emitter voltage, Base open
* Vcbo Collector Base voltage, Emitter open
* Vebo Emitter Base voltage, Collector open
* Ic DC Collector current
* hfe Max DC current gain
* Ices Collector Emitter cut-off current
* Vbe Min Base Emitter voltage
* ft Gain bandwidth product
* Ccbo Typ. Collector Base capacitance
* Cebo Typ. Emitter Base capacitance
* Rc/Rb/Re Fixed values
*
* Activation energy: Eg=1.11 for Si (npn) transistor
* Sat.-current temp. exp: Xti=3 for Si (npn) transistor
* Added for ngspice compatibility:
.param pi=3.14159
Q C B E Transistor
.model Transistor NPN(Is={Ices/2} Bf={hfe} Bvcbo={Vcbo} Bvbe={-Vebo} Vceo={Vceo} Vje={Vbe} Tf={1/(2*pi*ft)} Eg={Eg} Xti={Xti} Icrating={Ic} Cjc={Ccbo*2} Cje={Cebo*2} Rc={Rc} Rb={Rb} Re={Re} mfg=Diotec type=npn)
.ends

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "royer1.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "royer1.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": true,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"ff9e57fd-c357-4a66-b93b-c266201738c2",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,31 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 100n 4m",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": true,
"margins": {
"bottom": 45,
"left": 70,
"right": 140,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(55, 126, 184)",
"signal": "V(out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,95 @@
* PSpice Model Editor - Version 16.6.0
*$
* BA4558R/BA4558Y-M
*
* Rev.002 2016.Apr
*
* Low Noise Operational Amplifier 'Macromodel' Subcircuit
*
* All Rights Reserved Copyright (c) ROHM CO., LTD.
*
.subckt BA4558R OUT1 -IN1 +IN1 VEE +IN2 -IN2 OUT2 VCC
X_U1 +IN1 -IN1 VCC VEE OUT1 ba4558r_sub
X_U2 +IN2 -IN2 VCC VEE OUT2 ba4558r_sub
.ends
*$
.SUBCKT ba4558r_sub +IN -IN VCC VEE OUT
R_R27 N227606 N165981 10 TC=0.001,0
R_R24 N226095 VCC 1.4k TC=0.0037,0
Q_Q17 N227264 N168651 VCC QP3 38.7
Q_Q1 N165977 -IN N164947 QP2 27
Q_Q50 N226799 N165981 N226803 QN1 17
Q_Q9 N165977 N165977 N165985 QN1 2
R_R23 VEE N165985 4k TC=0.001,0
Q_Q49 N168651 N168651 VCC QP1 1
C_C3 N227606 N227621 33.5p TC=0,0
R_R26 VEE N226803 32k TC=0.001,0
G_G1 N168651 VEE N215189 VEE 1
R_R20 VEE N215189 40 TC=-0.0015,0
Q_Q2 N165981 +IN N164947 QP2 27.4
I_I5 VEE N215189 DC 1uAdc
Q_Q51 N227621 N226803 VEE QN2 4
C_C2 VEE N165985 30p TC=0,0
R_R22 VEE N166000 4k TC=0.001,0
Q_Q10 N165981 N165977 N166000 QN1 2
R_R25 N226799 VCC 900 TC=0.001,0
Q_Q15 N164947 N168651 N226095 QP1 2.2
Q_Q52 VEE N168651 VCC QP4 0.01
R_R28 N227621 N233224 50k TC=0.001,0
Q_Q53 VEE N227621 N233626 QP3 6
Q_Q54 VCC N227264 N233088 QN2 30
Q_Q55 N227264 N227264 N233224 QN1 4
Q_Q56 N227264 N233224 N227621 QN1 4
R_R29 OUT N233088 50 TC=0.001,0
R_R30 N233626 OUT 80 TC=0.001,0
*
*
*
.model QN1 npn
+ is=5e-016
+ bf=380
+ nf=1
+ vaf=100
+ ikf=0.001
*
.model QN2 npn
+ is=5e-016
+ bf=400
+ nf=1
+ vaf=100
+ ikf=0.001
+ xtb=1
*
.model QP1 pnp
+ is=7e-016
+ bf=100
+ nf=1
+ vaf=45
+ ikf=2.0e-005
+ xti=2
*
.model QP2 pnp
+ is=5e-016
+ bf=100
+ nf=1
+ vaf=22
+ ikf=0.5e-005
+ xti=2
*
.model QP3 pnp
+ is=5e-016
+ bf=1400
+ nf=1
+ vaf=110
+ ikf=2e-005
+ xti=1.5
*
.model QP4 pnp
+ is=5e-016
+ bf=1400
+ nf=1
+ vaf=3
+ ikf=2e-005
+ xti=10
.ends
*$

View File

@ -0,0 +1,436 @@
*$
* OPA1641
*************************************************************************************************
* (C) Copyright 2018 Texas Instruments Incorporated. All rights reserved.
*************************************************************************************************
** This model is designed as an aid for customers of Texas Instruments.
** TI and its licensors and suppliers make no warranties, either expressed
** or implied, with respect to this model, including the warranties of
** merchantability or fitness for a particular purpose. The model is
** provided solely on an "as is" basis. The entire risk as to its quality
** and performance is with the customer
*************************************************************************************************
*
* This model is subject to change without notice. Texas Instruments
* Incorporated is not responsible for updating this model.
*
*************************************************************************************************
*
** Released by: Online Design Tools, Texas Instruments Inc.
* Part: OPA1641
* Date: 08FEB2019
* Model Type: Generic (suitable for all analysis types)
* EVM Order Number: N/A
* EVM Users Guide: N/A
* Datasheet: SBOS484D -DECEMBER 2009-REVISED APRIL 2016
* Created with Green-Williams-Lis Op Amp Macro-model Architecture
*
* Model Version: Final 1.1
*
*****************************************************************************
*
* Updates:
*
* Final 1.1
* Added Unique subckt name, removed Claw ABS.
* Simplified subckt for current noise.
*
* Final 1.0
* Release to Web.
*
****************************************************************************
* Model Usage Notes:
* 1. The following parameters are modeled:
* OPEN-LOOP GAIN AND PHASE VS. FREQUENCY WITH RL, CL EFFECTS (Aol)
* UNITY GAIN BANDWIDTH (GBW)
* INPUT COMMON-MODE REJECTION RATIO VS. FREQUENCY (CMRR)
* POWER SUPPLY REJECTION RATIO VS. FREQUENCY (PSRR)
* DIFFERENTIAL INPUT IMPEDANCE (Zid)
* COMMON-MODE INPUT IMPEDANCE (Zic)
* OPEN-LOOP OUTPUT IMPEDANCE VS. FREQUENCY (Zo)
* OUTPUT CURRENT THROUGH THE SUPPLY (Iout)
* INPUT VOLTAGE NOISE DENSITY VS. FREQUENCY (en)
* INPUT CURRENT NOISE DENSITY VS. FREQUENCY (in)
* OUTPUT VOLTAGE SWING vs. OUTPUT CURRENT (Vo)
* SHORT-CIRCUIT OUTPUT CURRENT (Isc)
* QUIESCENT CURRENT (Iq)
* SETTLING TIME VS. CAPACITIVE LOAD (ts)
* SLEW RATE (SR)
* SMALL SIGNAL OVERSHOOT VS. CAPACITIVE LOAD
* LARGE SIGNAL RESPONSE
* OVERLOAD RECOVERY TIME (tor)
* INPUT BIAS CURRENT (Ib)
* INPUT OFFSET CURRENT (Ios)
* INPUT OFFSET VOLTAGE (Vos)
* INPUT COMMON-MODE VOLTAGE RANGE (Vcm)
* INPUT OFFSET VOLTAGE VS. INPUT COMMON-MODE VOLTAGE (Vos vs. Vcm)
* INPUT/OUTPUT ESD CELLS (ESDin, ESDout)
* 2. Model represent the device operating at room temperature only. No temperature dependency is modeled
******************************************************
.subckt OPA1641 IN+ IN- VCC VEE OUT
******************************************************
.model R_NOISELESS RES (TCE=0 T_ABS=-273.15)
******************************************************
I_OS ESDn MID 4e-12
I_B 30 MID 2e-12
V_GRp 45 MID 56
V_GRn 46 MID -55
V_ISCp 39 MID 36.5994
V_ISCn 40 MID -28.98
V_ORn 38 VCLP -11.9328
V11 44 37 0
V_ORp 36 VCLP 11.8605
V12 43 35 0
V4 27 OUT 0
VCM_MIN 67 VEE_B -0.1
VCM_MAX 68 VCC_B -3.5
I_Q VCC VEE 0.0018
V_OS 75 30 0.000995
XU5 ESDp ESDn VCC VEE ESD_0_OPA1641
XU4 19 ESDp MID PSRR_CMRR_0_OPA1641
XU3 20 VEE_B MID PSRR_CMRR_1_OPA1641
XU2 21 VCC_B MID PSRR_CMRR_2_OPA1641
XU1 23 22 CLAMP VSENSE CLAW_CLAMP CL_CLAMP 24 26 27 MID AOL_ZO_0_OPA1641
C28 31 MID 1P
R77 32 31 R_NOISELESS 100
C27 33 MID 1P
R76 34 33 R_NOISELESS 100
R75 MID 35 R_NOISELESS 1
GVCCS8 35 MID 36 MID -1
R74 37 MID R_NOISELESS 1
GVCCS7 37 MID 38 MID -1
Xi_nn ESDn MID FEMT_0_OPA1641
Xi_np MID 30 FEMT_0_OPA1641
Xe_n ESDp 30 VNSE_0_OPA1641
XIQPos VIMON MID MID VCC VCCS_LIMIT_IQ_0_OPA1641
XIQNeg MID VIMON VEE MID VCCS_LIMIT_IQ_0_OPA1641
C_DIFF ESDp ESDn 8e-12
XCL_AMP 39 40 VIMON MID 41 42 CLAMP_AMP_LO_0_OPA1641
SOR_SWp CLAMP 43 CLAMP 43 S_VSWITCH_1
SOR_SWn 44 CLAMP 44 CLAMP S_VSWITCH_1
XGR_AMP 45 46 47 MID 48 49 CLAMP_AMP_HI_0_OPA1641
R39 45 MID R_NOISELESS 1T
R37 46 MID R_NOISELESS 1T
R42 VSENSE 47 R_NOISELESS 1M
C19 47 MID 1F
R38 48 MID R_NOISELESS 1
R36 MID 49 R_NOISELESS 1
R40 48 50 R_NOISELESS 1M
R41 49 51 R_NOISELESS 1M
C17 50 MID 1F
C18 MID 51 1F
XGR_SRC 50 51 CLAMP MID VCCS_LIM_GR_0_OPA1641
R21 41 MID R_NOISELESS 1
R20 MID 42 R_NOISELESS 1
R29 41 52 R_NOISELESS 1M
R30 42 53 R_NOISELESS 1M
C9 52 MID 1F
C8 MID 53 1F
XCL_SRC 52 53 CL_CLAMP MID VCCS_LIM_4_0_OPA1641
R22 39 MID R_NOISELESS 1T
R19 MID 40 R_NOISELESS 1T
XCLAWp VIMON MID 54 VCC_B VCCS_LIM_CLAW+_0_OPA1641
XCLAWn MID VIMON VEE_B 55 VCCS_LIM_CLAW-_0_OPA1641
R12 54 VCC_B R_NOISELESS 1K
R16 54 56 R_NOISELESS 1M
R13 VEE_B 55 R_NOISELESS 1K
R17 57 55 R_NOISELESS 1M
C6 57 MID 1F
C5 MID 56 1F
G2 VCC_CLP MID 56 MID -1M
R15 VCC_CLP MID R_NOISELESS 1K
G3 VEE_CLP MID 57 MID -1M
R14 MID VEE_CLP R_NOISELESS 1K
XCLAW_AMP VCC_CLP VEE_CLP VOUT_S MID 58 59 CLAMP_AMP_LO_0_OPA1641
R26 VCC_CLP MID R_NOISELESS 1T
R23 VEE_CLP MID R_NOISELESS 1T
R25 58 MID R_NOISELESS 1
R24 MID 59 R_NOISELESS 1
R27 58 60 R_NOISELESS 1M
R28 59 61 R_NOISELESS 1M
C11 60 MID 1F
C10 MID 61 1F
XCLAW_SRC 60 61 CLAW_CLAMP MID VCCS_LIM_3_0_OPA1641
H2 34 MID V11 -1
H3 32 MID V12 1
C12 SW_OL MID 100P
R32 62 SW_OL R_NOISELESS 100
R31 62 MID R_NOISELESS 1
XOL_SENSE MID 62 33 31 OL_SENSE_0_OPA1641
S1 24 26 SW_OL MID S_VSWITCH_3
H1 63 MID V4 1K
S7 VEE OUT VEE OUT S_VSWITCH_4
S6 OUT VCC OUT VCC S_VSWITCH_4
R11 MID 64 R_NOISELESS 1T
R18 64 VOUT_S R_NOISELESS 100
C7 VOUT_S MID 1N
E5 64 MID OUT MID 1
C13 VIMON MID 1N
R33 63 VIMON R_NOISELESS 100
R10 MID 63 R_NOISELESS 1T
R47 65 VCLP R_NOISELESS 100
C24 VCLP MID 100P
E4 65 MID CL_CLAMP MID 1
C4 23 MID 1F
R9 23 66 R_NOISELESS 1M
R7 MID 67 R_NOISELESS 1T
R6 68 MID R_NOISELESS 1T
R8 MID 66 R_NOISELESS 1
XVCM_CLAMP 69 MID 66 MID 68 67 VCCS_EXT_LIM_0_OPA1641
E1 MID 0 70 0 1
R89 VEE_B 0 R_NOISELESS 1
R5 71 VEE_B R_NOISELESS 1M
C3 71 0 1F
R60 70 71 R_NOISELESS 1MEG
C1 70 0 1
R3 70 0 R_NOISELESS 1T
R59 72 70 R_NOISELESS 1MEG
C2 72 0 1F
R4 VCC_B 72 R_NOISELESS 1M
R88 VCC_B 0 R_NOISELESS 1
G17 VEE_B 0 VEE 0 -1
G16 VCC_B 0 VCC 0 -1
R_PSR 73 69 R_NOISELESS 1K
G_PSR 69 73 21 20 -1M
R2 22 ESDn R_NOISELESS 1M
R1 73 74 R_NOISELESS 1M
R_CMR 75 74 R_NOISELESS 1K
G_CMR 74 75 19 MID -1M
C_CMn ESDn MID 6e-12
C_CMp MID ESDp 6e-12
R53 ESDn MID R_NOISELESS 1T
R52 MID ESDp R_NOISELESS 1T
R35 IN- ESDn R_NOISELESS 10M
R34 IN+ ESDp R_NOISELESS 10M
.MODEL S_VSWITCH_1 VSWITCH (RON=10e-3 ROFF=1e9 VON=10e-3 VOFF=0)
.MODEL S_VSWITCH_3 VSWITCH (RON=1e-3 ROFF=1e9 VON=900e-3 VOFF=800e-3)
.MODEL S_VSWITCH_4 VSWITCH (RON=50 ROFF=1e12 VON=500e-3 VOFF=450e-3)
.ENDS OPA1641
*
.SUBCKT ESD_0_OPA1641 ESDp ESDn VCC VEE
S2 ESDn VCC ESDn VCC S_VSWITCH_1
S4 VEE ESDn VEE ESDn S_VSWITCH_1
S3 ESDp VCC ESDp VCC S_VSWITCH_1
S5 VEE ESDp VEE ESDp S_VSWITCH_1
.MODEL S_VSWITCH_1 VSWITCH (RON=50 ROFF=1e12 VON=500e-3 VOFF=450e-3)
.ENDS
*
.SUBCKT PSRR_CMRR_0_OPA1641 psrr_in psrr_vccb mid
.model R_NOISELESS RES ( TCE=0 T_ABS=-273.15)
R74 mid psrr_in R_NOISELESS 1
G_2 psrr_in mid 4 mid -36.1316
R2b mid 4 R_NOISELESS 2846443.8473
C2a 4 5 1.0178e-14
R73 5 4 R_NOISELESS 100MEG
R49 mid 5 R_NOISELESS 1
GVCCS7 5 mid 6 mid -1
R2a mid 6 R_NOISELESS 11367.1456
C1a 6 7 2.2769e-12
R48 7 6 R_NOISELESS 100MEG
G_1 7 mid psrr_vccb mid -0.0021692
Rsrc mid 7 R_NOISELESS 1
.ENDS
*
.SUBCKT PSRR_CMRR_1_OPA1641 psrr_in psrr_vccb psrr_mid
.model R_NOISELESS RES ( TCE=0 T_ABS=-273.15)
R80 psrr_mid psrr_in R_NOISELESS 33.3333
C27 psrr_in 4 1.5915e-09
R79 4 psrr_in R_NOISELESS 100MEG
GVCCS8 4 psrr_mid psrr_vccb psrr_mid -0.13345
R78 psrr_mid 4 R_NOISELESS 1
.ENDS
*
.SUBCKT PSRR_CMRR_2_OPA1641 psrr_in psrr_vccb psrr_mid
.model R_NOISELESS RES ( TCE=0 T_ABS=-273.15)
R80 psrr_mid psrr_in R_NOISELESS 45.5638
C27 psrr_in 4 1.5877e-09
R79 4 psrr_in R_NOISELESS 100MEG
GVCCS8 4 psrr_mid psrr_vccb psrr_mid -0.30495
R78 psrr_mid 4 R_NOISELESS 1
.ENDS
*
.SUBCKT VCCS_LIM_2_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 0.021535
.PARAM IPOS = 0.6168
.PARAM INEG = -0.6168
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT VCCS_LIM_1_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1E-4
.PARAM IPOS = .5
.PARAM INEG = -.5
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT AOL_ZO_0_OPA1641 AOL_INP AOL_INN CLAMP VSENSE CLAW_CLAMP CL_CLAMP ZO_CLEFT ZO_CRIGHT ZO_OUT MID
.MODEL R_NOISELESS RES ( TCE=0 T_ABS=-273.15)
C1_A0 CLAMP MID 3.034e-08
R4_A0 MID CLAMP R_NOISELESS 1MEG
XVCCS_LIM_2_A0 4_A0 MID MID CLAMP VCCS_LIM_2_0_OPA1641
R3_A0 MID 4_A0 R_NOISELESS 1MEG
XVCCS_LIM_1_A0 AOL_INP AOL_INN MID 4_A0 VCCS_LIM_1_0_OPA1641
R4_VS VSENSE MID R_NOISELESS 1K
GVCCS4_VS VSENSE MID CLAMP MID -1M
C2_A2 out2 MID 6.6315e-14
R3_A2 out2 MID R_NOISELESS 1MEG
GVCCS3_A2 out2 MID VSENSE MID -1U
C3_A3 4_A3 out3 4.9379e-12
GVCCS4_A3 4_A3 MID out2 MID -639.8821
R4_A3 4_A3 MID R_NOISELESS 1
R5_A3 out3 4_A3 R_NOISELESS 10K
R6_A3 out3 MID R_NOISELESS 15.6523
C2_A4 out4 MID 1.0015e-15
R3_A4 out4 MID R_NOISELESS 1MEG
GVCCS3_A4 out4 MID out3 MID -1U
C2_A5 out5 MID 8.1759e-16
R3_A5 out5 MID R_NOISELESS 1MEG
GVCCS3_A5 out5 MID out4 MID -1U
C2_A6 out6 MID 8.1759e-16
R3_A6 out6 MID R_NOISELESS 1MEG
GVCCS3_A6 out6 MID out5 MID -1U
C2_A7 out7 MID 8.1759e-16
R3_A7 out7 MID R_NOISELESS 1MEG
GVCCS3_A7 out7 MID out6 MID -1U
C2_A8 out8 MID 2.8937e-16
R3_A8 out8 MID R_NOISELESS 1MEG
GVCCS3_A8 out8 MID out7 MID -1U
R4_CC CLAW_CLAMP MID R_NOISELESS 1K
GVCCS4_CC CLAW_CLAMP MID out8 MID -1M
R4_CL CL_CLAMP MID R_NOISELESS 1K
GVCCS4_CL CL_CLAMP MID CLAW_CLAMP MID -1M
G_Aol_Zo Zo_Cleft MID CL_CLAMP ZO_OUT -89.0517
GVCCS1_1 outz1 MID Zo_Cright MID -83.6706
C1_1 Zo_Cleft Zo_Cright 9.5519e-06
R2_1 Zo_Cright MID R_NOISELESS 120.9619
R1_1 Zo_Cright Zo_Cleft R_NOISELESS 10K
Rdc_1 Zo_Cleft MID R_NOISELESS 1
GVCCS2_2 outz2 MID net2 MID -1
C2_2 5_2 MID 3.9653e-12
R5_2 net2 5_2 R_NOISELESS 10K
R4_2 net2 outz1 R_NOISELESS 165044.5518
R7_2 outz1 MID R_NOISELESS 1
R1_3 2_3 MID R_NOISELESS 1
R11_3 5_3 MID R_NOISELESS 6.7534
C4_3 5_3 outz2 1.6422e-13
R10_3 5_3 outz2 R_NOISELESS 10K
XVCVS_LIM_1 5_3 MID MID 2_3 VCCS_LIM_ZO_0_OPA1641
R9_3 outz2 MID R_NOISELESS 1
Rdummy MID ZO_OUT R_NOISELESS 1584.893
Rx ZO_OUT 2_3 R_NOISELESS 15848.93
.ENDS
*
.SUBCKT VCCS_LIM_ZO_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1481.7407
.PARAM IPOS = 1160.123E3
.PARAM INEG = -918.604E3
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT FEMT_0_OPA1641 1 2
.PARAM NVRF=0.8
.PARAM RNVF={1.184*PWR(NVRF,2)}
E1 3 0 5 0 10
R1 5 0 {RNVF}
R2 5 0 {RNVF}
G1 1 2 3 0 1E-6
.ENDS
*
.SUBCKT VNSE_0_OPA1641 1 2
.PARAM FLW=0.1
.PARAM NLF=46.4919
.PARAM NVR=5.0539
.PARAM GLF={PWR(FLW,0.25)*NLF/1164}
.PARAM RNV={1.184*PWR(NVR,2)}
.MODEL DVN D KF={PWR(FLW,0.5)/1E11} IS=1.0E-16
I1 0 7 10E-3
I2 0 8 10E-3
D1 7 0 DVN
D2 8 0 DVN
E1 3 6 7 8 {GLF}
R1 3 0 1E9
R2 3 0 1E9
R3 3 6 1E9
E2 6 4 5 0 10
R4 5 0 {RNV}
R5 5 0 {RNV}
R6 3 4 1E9
R7 4 0 1E9
E3 1 2 3 4 1
.ENDS
*
.SUBCKT VCCS_LIMIT_IQ_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1E-3
G1 IOUT- IOUT+ VALUE={IF( (V(VC+,VC-)<=0),0,GAIN*V(VC+,VC-) )}
.ENDS
*
.SUBCKT CLAMP_AMP_LO_0_OPA1641 VC+ VC- VIN COM VO+ VO-
.PARAM G=1
GVO+ COM VO+ VALUE = {IF(V(VIN,COM)>V(VC+,COM),((V(VIN,COM)-V(VC+,COM))*G),0)}
GVO- COM VO- VALUE = {IF(V(VIN,COM)<V(VC-,COM),((V(VC-,COM)-V(VIN,COM))*G),0)}
.ENDS
*
.SUBCKT CLAMP_AMP_HI_0_OPA1641 VC+ VC- VIN COM VO+ VO-
.PARAM G=10
GVO+ COM VO+ VALUE = {IF(V(VIN,COM)>V(VC+,COM),((V(VIN,COM)-V(VC+,COM))*G),0)}
GVO- COM VO- VALUE = {IF(V(VIN,COM)<V(VC-,COM),((V(VC-,COM)-V(VIN,COM))*G),0)}
.ENDS
*
.SUBCKT VCCS_LIM_GR_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1
.PARAM IPOS = 1.2336E1
.PARAM INEG = -1.2336E1
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT VCCS_LIM_4_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1
.PARAM IPOS = 0.2352E1
.PARAM INEG = -0.231E1
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT VCCS_LIM_CLAW+_0_OPA1641 VC+ VC- IOUT+ IOUT-
G1 IOUT+ IOUT- TABLE {(V(VC+,VC-))} =
+(0, 2.1186E-4)
+(12.1998, 0.0003505)
+(24.3996, 0.00037005)
+(32.5328, 0.00049199)
+(32.9395, 0.00050932)
+(33.7528, 0.00055193)
+(34.5661, 0.0006607)
+(35.3794, 0.00086684)
+(36.1927, 0.0014151)
+(36.5994, 0.0018692)
.ENDS
*
.SUBCKT VCCS_LIM_CLAW-_0_OPA1641 VC+ VC- IOUT+ IOUT-
G1 IOUT+ IOUT- TABLE {(V(VC+,VC-))} =
+(0, 2.1186E-4)
+(9.66, 0.00036002)
+(19.3199, 0.00036763)
+(25.7599, 0.00037452)
+(26.0819, 0.00037487)
+(26.7259, 0.00037556)
+(27.3699, 0.00037625)
+(28.0139, 0.00037694)
+(28.6579, 0.00072576)
+(28.9799, 0.0018986)
.ENDS
*
.SUBCKT VCCS_LIM_3_0_OPA1641 VC+ VC- IOUT+ IOUT-
.PARAM GAIN = 1
.PARAM IPOS = 0.1176E1
.PARAM INEG = -0.1155E1
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VC+,VC-),INEG,IPOS)}
.ENDS
*
.SUBCKT OL_SENSE_0_OPA1641 COM SW+ OLN OLP
GSW+ COM SW+ VALUE = {IF((V(OLN,COM)>10E-3 | V(OLP,COM)>10E-3),1,0)}
.ENDS
*
.SUBCKT VCCS_EXT_LIM_0_OPA1641 VIN+ VIN- IOUT- IOUT+ VP+ VP-
.PARAM GAIN = 1
G1 IOUT+ IOUT- VALUE={LIMIT(GAIN*V(VIN+,VIN-),V(VP-,VIN-), V(VP+,VIN-))}
.ENDS
*

View File

@ -0,0 +1,571 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.049999999999999996,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.09999999999999999,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.8,
"height": 1.4,
"width": 1.4
},
"silk_line_width": 0.12,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.508
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_over_copper": "error",
"silk_overlap": "error",
"skew_out_of_range": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.0,
"min_hole_clearance": 0.0,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.19999999999999998,
"min_via_annular_width": 0.049999999999999996,
"min_via_diameter": 0.39999999999999997
},
"track_widths": [
0.0
],
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "Q17ng.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.5,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.25,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
},
{
"group_by": false,
"label": "#",
"name": "${ITEM_NUMBER}",
"show": false
},
{
"group_by": false,
"label": "Sim.Device",
"name": "Sim.Device",
"show": false
},
{
"group_by": false,
"label": "Sim.Library",
"name": "Sim.Library",
"show": false
},
{
"group_by": false,
"label": "Sim.Name",
"name": "Sim.Name",
"show": false
},
{
"group_by": false,
"label": "Sim.Params",
"name": "Sim.Params",
"show": false
},
{
"group_by": false,
"label": "Sim.Pins",
"name": "Sim.Pins",
"show": false
},
{
"group_by": false,
"label": "Sim.Type",
"name": "Sim.Type",
"show": false
},
{
"group_by": false,
"label": "Description",
"name": "Description",
"show": false
}
],
"filter_string": "",
"group_symbols": true,
"name": "",
"sort_asc": true,
"sort_field": "Value"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_bus_thickness": 12.0,
"default_junction_size": 40.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"default_wire_thickness": 6.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.3,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.3
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "Spice",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 4,
"workbook_filename": "Q17ng.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "C:\\Spice64\\bin\\ngspice-36 \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"cafff4e3-949d-4238-9c26-62c53b5e7c3a",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,41 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 3u 400m 0 3u uic",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": true,
"margins": {
"bottom": 45,
"left": 70,
"right": 140,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(77, 175, 74)",
"signal": "P(RLOAD2)",
"trace_type": 272
},
{
"color": "rgb(55, 126, 184)",
"signal": "V(in)",
"trace_type": 257
},
{
"color": "rgb(255, 29, 32)",
"signal": "V(out)",
"trace_type": 257
}
]
}
],
"user_defined_signals": [],
"version": 6
}

View File

@ -0,0 +1,455 @@
*
.SUBCKT BS250P 3 4 5
* D G S
M1 3 2 5 5 MBS250
RG 4 2 160
RL 3 5 1.2E8
C1 2 5 47E-12
C2 3 2 10E-12
D1 3 5 DBS250
*
.MODEL MBS250 PMOS VTO=-3.193 RS=2.041 RD=0.697 IS=1E-15 KP=0.277
+CBD=105E-12 PB=1 LAMBDA=1.2E-2
.MODEL DBS250 D IS=2E-13 RS=0.309
.ENDS BS250P
*
*
*ZETEX 2N7000 Spice model Last revision 3/5/00
*
.SUBCKT 2N7000_ZX 3 4 5
* Nodes D G S
M1 3 2 5 5 MOD1
RG 4 2 343
RL 3 5 6E6
C1 2 5 23.5P
C2 3 2 4.5P
D1 5 3 DIODE1
*
.MODEL MOD1 NMOS VTO=2.474 RS=1.68 RD=0.0 IS=1E-15 KP=0.296
+CBD=53.5P PB=1 LAMBDA=267E-6
.MODEL DIODE1 D IS=1.254E-13 N=1.0207 RS=0.222
.ENDS 2N7000_ZX
*
.model Q2SC2240 NPN(Is=1.41f Xti=3 Eg=1.11 Vaf=100 Bf=310 Ne=1.5 Ise=0
+ Ikf=70m Xtb=1.5 Br=.8893 Nc=2 Isc=0 Ikr=0 Rc=30 Cjc=6.878p
+ Mjc=.2725 Vjc=.75 Fc=.5 Cje=5p Mje=.3333 Vje=.75 Tr=10n
+ Tf=1.276n Itf=0 Vtf=0 Xtf=0)
* TOSHIBA 90-01-29 creation
**********
*SRC=2SA970;QSA970;BJTs PNP;Amplifier;120 V .1A
.MODEL QSA970 PNP (IS=10.1F NF=1 BF=426 VAF=197 IKF=60M ISE=2.38P NE=2
+ BR=4 NR=1 VAR=20 IKR=90M RE=13.1 RB=52.6 RC=5.26 XTB=1.5
+ CJE=38.6P VJE=1.1 MJE=.5 CJC=12.4P VJC=.3 MJC=.3 TF=1.59N TR=1.1U)
* 120 Volt .1 Amp 100 MHz SiPNP Transistor 07-28-1995
*QSA970, TOSHIBA
**********
.SUBCKT IRF610_IR 1 2 3
**************************************
* Model Generated by MODPEX *
*Copyright(c) Symmetry Design Systems*
* All Rights Reserved *
* UNPUBLISHED LICENSED SOFTWARE *
* Contains Proprietary Information *
* Which is The Property of *
* SYMMETRY OR ITS LICENSORS *
*Commercial Use or Resale Restricted *
* by Symmetry License Agreement *
**************************************
* Model generated on Oct 29, 97
* MODEL FORMAT: SPICE3
* Symmetry POWER MOS Model (Version 1.0)
* External Node Designations
* Node 1 -> Drain
* Node 2 -> Gate
* Node 3 -> Source
M1 9 7 8 8 MM L=100u W=100u
* Default values used in MM:
* The voltage-dependent capacitances are
* not included. Other default values are:
* RS=0 RD=0 LD=0 CBD=0 CBS=0 CGBO=0
.MODEL MM NMOS LEVEL=1 IS=1e-32
+VTO=3.94473 LAMBDA=0.00953957 KP=0.484056
+CGSO=1.26059e-06 CGDO=1.00178e-11
RS 8 3 0.0001
D1 3 1 MD
.MODEL MD D IS=1.6866e-09 RS=0.0538695 N=1.49978 BV=200
+IBV=0.00025 EG=1.2 XTI=4 TT=0
+CJO=1.59879e-10 VJ=2.42435 M=0.605977 FC=0.5
RDS 3 1 1e+06
RD 9 1 1.14151
RG 2 7 5.34748
D2 4 5 MD1
* Default values used in MD1:
* RS=0 EG=1.11 XTI=3.0 TT=0
* BV=infinite IBV=1mA
.MODEL MD1 D IS=1e-32 N=50
+CJO=2.10468e-10 VJ=1.4522 M=0.87562 FC=1e-08
D3 0 5 MD2
* Default values used in MD2:
* EG=1.11 XTI=3.0 TT=0 CJO=0
* BV=infinite IBV=1mA
.MODEL MD2 D IS=1e-10 N=0.4 RS=3e-06
RL 5 10 1
FI2 7 9 VFI2 -1
VFI2 4 0 0
EV16 10 0 9 7 1
CAP 11 10 4.00016e-10
FI1 7 9 VFI1 -1
VFI1 11 6 0
RCAP 6 10 1
D4 0 6 MD3
* Default values used in MD3:
* EG=1.11 XTI=3.0 TT=0 CJO=0
* RS=0 BV=infinite IBV=1mA
.MODEL MD3 D IS=1e-10 N=0.4
.ENDS IRF610_IR
**********
*SRC=IRF9610S;IRF9610S;MOSFETs P;Power >100V;200V 2A 3ohm
*SYM=POWMOSP
*PINOUT SMD-220
.SUBCKT IRF9610S 10 20 40
* TERMINALS: D G S
M1 1 2 3 3 DMOS L=1U W=1U
RD 10 1 1.42
RS 30 3 76M
RG 20 2 83.3
CGS 2 3 155P
EGD 12 0 1 2 1
VFB 14 0 0
FFB 1 2 VFB 1
CGD 13 14 193P
R1 13 0 1
D1 12 13 DLIM
DDG 15 14 DCGD
R2 12 15 1
D2 15 0 DLIM
DSD 10 3 DSUB
LS 30 40 7.5N
.MODEL DMOS PMOS (LEVEL=3 VMAX=417K THETA=58.1M ETA=2M VTO=-3.1 KP=0.865)
.MODEL DCGD D (CJO=193P VJ=0.6 M=0.68)
.MODEL DSUB D (IS=7.47N N=1.5 RS=2.81 BV=200 CJO=151P VJ=0.8 M=0.42 TT=240N)
.MODEL DLIM D (IS=100U)
.ENDS
**********
* GENERIC FUNCTIONAL EQUIVALENT = 1N5245
* MANUFACTURER = SPRAGUE
* TYPE: DIODE
* SUBTYPE: VOLTAGE REG GP
* THIS IS A TEMPERATURE TRACKING MODEL CONSTRUCTED FROM MEASUREMENTS
* THE MODEL IS INTENDED FOR USE FROM -55 C TO 125 C. NO RADIATION EFFECTS
* ARE INCLUDED. SIMULATIONS USING THIS MODEL REPRESENT THE RESPONSES OF
* NOMINAL DEVICES AND SIMULATIONS ARE ACCURATE WITHIN THE LIMITS OF THE
* PRODUCT SPECIFICATION.
*** CAUTION: THE SIMULATED TRR RANGES FROM 73 TO 96% OF THE MEASURED TRR.
* THIS COULD POTENTIALLY LEAD TO ERRORS IN CIRCUIT. SIMULATIONS IF
* USED IN HIGH SPEED SWITCHING APPLICATIONS.
.SUBCKT D1N5245/TEMP 1 3
D1 1 3 DFOR
D2 3 2 DBLOCK
D3 3 1 DLEAK
IC 1 2 1.46
RC 2 1 10 TC = 7.62E-04 , -3.77E-08
*
.MODEL DBLOCK D(
+ IS = 1E-12
+ RS = 0
+ N = 0.6
+ TT = 0
+ CJO = 0
+ VJ = 1
+ M = .5
+ EG = .1
+ XTI = -3.86
+ KF = 0
+ AF = 1
+ FC = .5
+ BV = 9.9999E+13
+ IBV = .001
+ )
*
.MODEL DLEAK D(
+ IS = 5.000E-15
+ RS = 0
+ N = 43
+ TT = 0
+ CJO = 0
+ VJ = 1
+ M = .5
+ EG = 10.1202914
+ XTI = 654
+ KF = 0
+ AF = 1
+ FC = .5
+ BV = 9.9999E+13
+ IBV = .001
+ )
*
.MODEL DFOR D (
+ IS = 1.649357E-15
+ RS = 0.405147
+ N = 1.027365
+ TT = 2.54E-7
+ CJO = 1.478778E-10
+ VJ = 0.4204929
+ M = 0.3186104
+ EG = 1.11
+ XTI = 3
+ KF = 0
+ AF = 1
+ FC = 0.5
+ BV = 9.9999E+13
+ IBV = .001
+ )
.ENDS
*
.model D1N5248 D(Is=7.021f Rs=5.619 Ikf=0 N=1 Xti=3 Eg=1.11 Cjo=60p M=.4093
+ Vj=.75 Fc=.5 Isr=1.461n Nr=2 Bv=18 Ibv=23.333m Nbv=1.2074
+ Ibvl=215.7u Nbvl=.71348 Tbv1=888.89u)
* Motorola pid=1N5248 case=DO-35
* 89-9-18 gjg
* Vz = 18 @ 7mA, Zz = 37 @ 1mA, Zz = 11 @ 5mA, Zz = 7.9 @ 20mA
* GENERIC FUNCTIONAL EQUIVALENT = 1N5248
* TYPE: DIODE
* SUBTYPE: VOLTAGE REG GP
* THIS IS A TEMPERATURE TRACKING MODEL WHICH WAS CONSTRUCTED
* FROM PRODUCT SPECIFICATION LIMITS AND PREVIOUSLY EXTRACTED MODELS.
* THE MODEL IS INTENDED FOR USE FROM -55 C TO 125 C. NO RADIATION EFFECTS
* ARE INCLUDED. SIMULATIONS USING THIS MODEL REPRESENT THE RESPONSES OF
* NOMINAL DEVICES AND SIMULATIONS ARE ACCURATE WITHIN THE LIMITS OF THE
* PRODUCT SPECIFICATION.
*
*** CAUTION: THE SIMULATED TRR RANGES FROM 28 TO 38% OF THE MEASURED TRR.
* THIS COULD POTENTIALLY LEAD TO ERRORS IN CIRCUIT. SIMULATIONS IF
* USED IN HIGH SPEED SWITCHING APPLICATIONS.
.SUBCKT D1N5248/TEMP 1 3
D1 1 3 DFOR
D2 3 2 DBLOCK
D3 3 1 DLEAK
IC 1 2 1.76
RC 2 1 10 TC = 7.93E-04 , -3.14E-08
*
.MODEL DBLOCK D(
+ IS = 1E-12
+ RS = 0
+ N = 0.716
+ TT = 0
+ CJO = 0
+ VJ = 1
+ M = .5
+ EG = .1
+ XTI = -3.86
+ KF = 0
+ AF = 1
+ FC = .5
+ BV = 9.9999E+13
+ IBV = .001
+ )
*
.MODEL DLEAK D(
+ IS = 1.000E-12
+ RS = 0
+ N = 103
+ TT = 0
+ CJO = 0
+ VJ = 1
+ M = .5
+ EG = 34.3
+ XTI = 309
+ KF = 0
+ AF = 1
+ FC = .5
+ BV = 9.9999E+13
+ IBV = .001
+ )
*
.MODEL DFOR D (
+ IS = 1.68868E-15
+ RS = 0.2636432
+ N = 1.0213594
+ TT = 2.9023E-7
+ CJO = 1.13597E-10
+ VJ = 0.6016557
+ M = 0.3406627
+ EG = 1.11
+ XTI = 3
+ KF = 0
+ AF = 1
+ FC = 0.5
+ BV = 1E5
+ IBV = .001
+ )
.ENDS
*
*** From file FQA36P15.lib
* PSpice Model Editor - Version 9.2
*
**************** Power Discrete MOSFET Electrical Circuit Model ******************
* Product Name: FQA36P15
* 150V P-Channel MOSFET and TO-3P
*---------------------------------------------------------------------------------
.SUBCKT FQA36P15 20 10 30
Rg 10 1 0.04
M1 2 1 3 3 DMOS L=1u W=1u
.MODEL DMOS PMOS (VTO={-3.6*{-0.00088*TEMP+1.022}} KP={19.5*{-0.00028*TEMP+1.007}}
+ THETA=0.0424 VMAX=1.5E5 LEVEL=3)
Cgs 1 3 2440p
Rd 20 4 0.06 TC=0.0085
Dds 4 3 DDS
.MODEL DDS D(BV={150*{0.00075*TEMP+0.98125}} M=0.48 CJO=600p VJ=0.61)
Dbody 20 3 DBODY
.MODEL DBODY D(IS=8.5E-12 N=1.0 RS=0.013 EG=1.19 TT=198n)
Ra 4 2 0.0152 TC=0.0085
Rs 3 5 0.0012
Ls 5 30 1n
M2 1 8 6 6 INTER
E2 8 6 4 1 2
.MODEL INTER PMOS (VTO=0 KP=10 LEVEL=1)
Cgdmax 7 4 3300p
Rcgd 7 4 1E7
Dgd 4 6 DGD
Rdgd 4 6 1E7
.MODEL DGD D(M=0.62 CJO=3300p VJ=0.52)
M3 7 9 1 1 INTER
E3 9 1 4 1 -2
.ENDS
*--------------------------------------------------------------------------------
* Creation : Nov.-24-2003
* Fairchild Semiconductor
*
*** From file FQA46N15.lib
*FQA46N15 150V N-CHANNEL DMOSFET ELECTRICAL PARAMETERS
*------------------------------------------------------------------------------------
.SUBCKT FQA46N15 20 10 30
Rg 10 1 1
M1 2 1 3 3 DMOS L=1u W=1u
.MODEL DMOS NMOS (VTO={3.62*{-0.00097*TEMP+1.02425}} KP={38.0*{-0.000095*TEMP+1.002375}}
+ THETA=0.056 VMAX=1.35E5 LEVEL=3)
Cgs 1 3 2400p
Rd 20 4 15m TC=0.013
Dds 3 4 DDS
.MODEL DDS D(BV={150*{0.00896*TEMP+0.776}} M=0.5 CJO=420p VJ=0.8)
Dbody 3 20 DBODY
.MODEL DBODY D(IS=9.2E-13 N=1.0 RS=7.8m EG=1.07 TT=130n)
Ra 4 2 9.6m TC=0.013
Rs 3 5 0.3m
Ls 5 30 0.55n
M2 1 8 6 6 INTER
E2 8 6 4 1 2
.MODEL INTER NMOS(VTO=0 KP=10 LEVEL=1)
Cgdmax 7 4 5385p
Rcgd 7 4 10meg
Dgd 6 4 DGD
Rdgd 4 6 10meg
.MODEL DGD D(M=0.85 CJO=5385p VJ=0.5)
M3 7 9 1 1 INTER
E3 9 1 4 1 -2
.ENDS FQA46N15
*------------------------------------------------------------------------------------
*FAIRCHILD CASE: TO-3P PID: FQA46N15
*MAY-02-2002 CREATION
*** From file FQP3P20.lib
* FQP3P20 200V P-CHANNEL DMOSFET ELECTRICAL PARAMETERS
*------------------------------------------------------------------------------------
.SUBCKT FQP3P20 20 10 30
Rg 10 1 1
M1 2 1 3 3 DMOS L=1u W=1u
.MODEL DMOS PMOS (VTO={-4.95*{-0.00095*TEMP+1.02375}} KP={1.15*{-0.00075*TEMP+1.01875}}
+ THETA=0.04 VMAX=2.7E5 LEVEL=3)
Cgs 1 3 182.5p
Rd 20 4 1350m TC=0.01
Dds 4 3 DDS
.MODEL DDS D(BV={200*{0.0008*TEMP+0.98}} M=0.5 CJO=37.5p VJ=0.8)
Dbody 20 3 DBODY
.MODEL DBODY D(IS=1.2E-14 N=1.07 RS=78.0m EG=1.54 TT=100n)
Ra 4 2 412m TC=0.01
Rs 3 5 41.2m
Ls 5 30 1.97n
M2 1 8 6 6 INTER
E2 8 6 4 1 2
.MODEL INTER PMOS(VTO=0 KP=10 LEVEL=1)
Cgdmax 7 4 266p
Rcgd 7 4 10meg
Dgd 4 6 DGD
Rdgd 4 6 10meg
.MODEL DGD D(M=0.7 CJO=266p VJ=0.55)
M3 7 9 1 1 INTER
E3 9 1 4 1 -2
.ENDS FQP3P20
*------------------------------------------------------------------------------------
* FAIRCHILD CASE: TO-220 PID: FQP3P20
* SEP-03-2001 CREATION
*** From file FQP3N30.lib
*
**************** Power Discrete MOSFET Electrical Circuit Model *****************
** Product Name: FQP3N10
** 100V N-Channel MOSFET and TO-220
** Model Type: BSIM3V3
**-------------------------------------------------------------------------------
.SUBCKT FQP3N30 2 1 3
*Nom Temp=25 deg C
Dbody 7 5 DbodyMOD
Dbreak 5 11 DbreakMOD
Ebreak 11 7 17 7 330
Lgate 1 9 1.125e-9
Ldrain 2 5 1.440e-9
Lsource 3 7 8.431e-10
RLgate 1 9 11.25
RLdrain 2 5 14.4
RLsource 3 7 8.43
Rgate 9 6 0.5
It 7 17 1
Rbreak 17 7 RbreakMOD 1
.MODEL RbreakMOD RES (TC1=1.08e-3 TC2=-1.02e-6)
.MODEL DbodyMOD D (IS=4.05e-13 N=1 RS=3.62e-2 TRS1=2.05e-3 TRS2=5.0e-7
+ CJO=2.45e-10 M=0.51 VJ=0.47 TT=3.02e-7 XTI=3 EG=1.12)
.MODEL DbreakMOD D (RS=100e-3 TRS1=1e-3 TRS2=1.0e-6)
Rdrain 5 16 RdrainMOD 1.65
.MODEL RdrainMOD RES (TC1=7.5e-3 TC2=1.39e-5)
M_BSIM3 16 6 7 7 Bsim3 W=0.45 L=2.0e-6 NRS=1
.MODEL Bsim3 NMOS (LEVEL=7 VERSION=3.1 MOBMOD=3 CAPMOD=2 PARAMCHK=1 NQSMOD=0
+ TOX=1480e-10 XJ=1.4e-6 NCH=1.13e17
+ U0=700 VSAT=5.0e5 DROUT=1.0
+ DELTA=0.10 PSCBE2=0 RSH=5.09e-3
+ VTH0=4.30 VOFF=-0.1 NFACTOR=1.1
+ LINT=1.05e-7 DLC=1.05e-7 FC=0.5
+ CGSO=1.2e-15 CGSL=0 CGDO=8.0e-12
+ CGDL=3.91e-10 CJ=0 CF=0
+ CKAPPA=0.12 KT1=-1.88 KT2=0
+ UA1=-2.2e-9 NJ=10 )
.ENDS
*Typ RED GaAs LED: Vf=1.7V Vr=4V If=40mA trr=3uS
.MODEL LED1 D (IS=93.2P RS=42M N=3.73 BV=4 IBV=10U
+ CJO=2.97P VJ=.75 M=.333 TT=4.32U)
.model IRF610h VDMOS (Rg=20 Vto=4.30 Kp=0.5 Rs=35m Ksubthres=0.23 Mtriode=0.35 Rd=1 Lambda=3m Bex=-2.4 Vtotc=-6m Tksubthres1=4m Trs1=3.5m Trd1=5m Cgdmax=260p Cgdmin=10p a=0.35 Cgs=125p Cjo=120p m=0.3 VJ=0.75 IS=4n N=1.5 Eg=1.05 Rb=0.06 Trb1=2.5m Vds=200 Ron=1.5 Qg=8nC mfg=VishIH1907)
.model IRF9610 vdmos pchan VTO=-3.667 RS=0.47274 KP=0.813 RD=1.733 RG=10 mfg=International_Rectifier Vds=-200 CGDMAX=4.05E-10 CGDMIN=3.00p Cjo=3.06E-11 IS=6.17e-61 Rb=0.267 TT=1.762e-06 Cgs=1.53E-10 Ksubthres=0.1
* This one is definitely wrong, see Vto
*.model IRF9610h VDMOS (pchan Rg=6 Vto=+3.76 Kp=0.35 Rs=68m Ksubthres=0.2 Mtriode=0.5 Rd=2 Lambda=4m Bex=-1 Vtotc=+2.5m Tksubthres1=4m Trs1=3m Trd1=9m Cgdmax=120p Cgdmin=15p a=0.26 Cgs=113p Cjo=207p m=0.4 VJ=2.5 IS=1.3f N=4.2 Eg=4.5 Rb=0.02 Trb1=1.3m Vds=-200 Ron=3 Qg=11nC mfg=VishIH1907)
* modified
.model IRF9610h VDMOS (pchan Rg=6 Vto=-3.76 Kp=0.35 Rs=68m Ksubthres=0.2 Mtriode=0.5 Rd=2 Lambda=4m Bex=-1 Vtotc=+2.5m Tksubthres1=4m Trs1=3m Trd1=9m Cgdmax=120p Cgdmin=15p a=0.26 Cgs=113p Cjo=207p m=0.4 VJ=2.5 IS=1.3f N=4.2 Eg=4.5 Rb=0.02 Trb1=1.3m Vds=-200 Ron=3 Qg=11nC mfg=VishIH1907)
.model IRF540 vdmos VTO=3.542 RS=0.03646 KP=35.149 RD=0.0291 RG=6 mfg=International_Rectifier Vds=100 CGDMAX=2.70n CGDMIN=4.00E-11 Cjo=4.76E-10 IS=1.32p Rb=0.01 TT=2.305e-07 Cgs=1.54E-09 Ksubthres=0.1
.model IRF9540 vdmos pchan VTO=-3.192 RS=0.05098 KP=13.966 RD=0.0985 RG=21.486 mfg=International_Rectifier Vds=-100 CGDMAX=2.00n CGDMIN=2.00E-11 Cjo=5.13E-10 IS=2.39e-27 Rb=0.0447 TT=1.465e-07 Cgs=1.27E-09 Ksubthres=0.1

View File

@ -1,2 +1,2 @@
*generic diode model
.model 1N4148 D
*generic diode model
.model 1N4148 D

View File

@ -0,0 +1,14 @@
Up-Down Counter
This project contains a home-made symbol, a home-made subcircuit counter model, and a mix of digital and analog nodes.
The subcircuit counter model is made by the built-in ngspice/XSPICE state machine.
This is a very simple method to run a programmable sequencer. The 'program'
is contained in file state-3bit-count.in.
Please see chapter 12.4.18 'State Machine' of the ngspice manual for more information.
The digital nodes of the state machine are interfaced to the analog world by D/A bridges.
When plotting the output, we use User-defined signals to allow plotting of
multiple signal vertically without overlap, thus emulating multiple panes.

View File

@ -0,0 +1,21 @@
* This is an example state.in file. This file
* defines a simple 3-bit counter with one input. The
* value of this input determines whether the counter counts
* up (in = 1) or down (in = 0).
* [state#] [output1 output2 output3] [input] [next state]
0 0s 0s 0s 0 -> 7
1 -> 1
1 0s 0s 1z 0 -> 0
1 -> 2
2 0s 1z 0s 0 -> 1
1 -> 3
3 0s 1z 1z 0 -> 2
1 -> 4
4 1z 0s 0s 0 -> 3
1 -> 5
5 1z 0s 1z 0 -> 4
1 -> 6
6 1z 1z 0s 0 -> 5
1 -> 7
7 1z 1z 1z 0 -> 6
1 -> 0

View File

@ -0,0 +1,33 @@
* another state machine example
* by Holger Vogt, July 9th, 2020
* 3-bit counter in a subcircuit with 5 V analog in and out
*** counter
.subckt 3bcounter clock updown out_b0 out_b1 out_b2
* Define a simple 3 bit up/down counter that counts clk edges.
* Digital outputs are on b2 b1 b0.
* inputs clock reset outputs (all digital)
a0 [upd] clk n_zero [b2 b1 b0] state2
* This needs to be edited: enter the absolute path of file state-3bit-count.in
.model state2 d_state(state_file = "D:\Spice_general\KiCad-799\up-down-counter\state-3bit-count.in")
*.model state2 d_state(state_file = "state-3bit-count.in")
* Digital "one" and "zero"
a1 n_one pullup1
.model pullup1 d_pullup(load = 1pF)
a2 n_zero pulldown1
.model pulldown1 d_pulldown(load = 1pF)
* Convert the digital outputs to analog
a3 [b2] [out_b2] dac1
a4 [b1] [out_b1] dac1
a5 [b0] [out_b0] dac1
.model dac1 dac_bridge(out_low = 0 out_high = 5 out_undef = 2.5)
* Convert the analog inputs to digital
a6 [clock] [clk] adc1
a7 [updown] [upd] adc1
.model adc1 adc_bridge(in_low = 0.3 in_high = 3.5)
.ends
*** end counter

View File

@ -0,0 +1,391 @@
{
"board": {
"3dviewports": [],
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "up-down-c.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": "up-down-c.wbk"
},
"page_layout_descr_file": "",
"plot_directory": "",
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"0f2b0518-a480-4225-861e-30a30561b94c",
"Root"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,44 @@
{
"last_sch_text_sim_command": "",
"tabs": [
{
"analysis": "TRAN",
"commands": [
".tran 1u 2m",
".kicad adjustpaths",
".save all"
],
"dottedSecondary": true,
"margins": {
"bottom": 45,
"left": 70,
"right": 70,
"top": 30
},
"measurements": [],
"showGrid": true,
"traces": [
{
"color": "rgb(228, 26, 28)",
"signal": "V(/clk)",
"trace_type": 257
},
{
"color": "rgb(55, 126, 184)",
"signal": "V(/ud)+6",
"trace_type": 257
},
{
"color": "rgb(77, 175, 74)",
"signal": "V(/q1)+2*V(/q2)+4*V(/q3)+12",
"trace_type": 257
}
]
}
],
"user_defined_signals": [
"V(/ud)+6",
"V(/q1)+2*V(/q2)+4*V(/q3)+12"
],
"version": 6
}

View File

@ -0,0 +1,179 @@
(kicad_symbol_lib
(version 20231120)
(generator "kicad_symbol_editor")
(generator_version "7.99")
(symbol "Up-Down"
(pin_names
(offset 1.016)
)
(exclude_from_sim no)
(in_bom yes)
(on_board yes)
(property "Reference" "U"
(at -7.62 8.89 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Value" "up-down"
(at -7.62 -8.89 0)
(effects
(font
(size 1.27 1.27)
)
)
)
(property "Footprint" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Datasheet" ""
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "Description" "3 Bit Up-Down Counter"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_keywords" "CMOS"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(property "ki_fp_filters" "DIP?16*"
(at 0 0 0)
(effects
(font
(size 1.27 1.27)
)
(hide yes)
)
)
(symbol "Up-Down_1_0"
(pin input clock
(at -12.7 0 0)
(length 5.08)
(name "CK"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "1"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin input line
(at -12.7 -2.54 0)
(length 5.08)
(name "Up-down"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "2"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin output line
(at 12.7 2.54 180)
(length 5.08)
(name "Q1"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "3"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin output line
(at 12.7 0 180)
(length 5.08)
(name "Q2"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "4"
(effects
(font
(size 1.27 1.27)
)
)
)
)
(pin output line
(at 12.7 -2.54 180)
(length 5.08)
(name "Q3"
(effects
(font
(size 1.27 1.27)
)
)
)
(number "5"
(effects
(font
(size 1.27 1.27)
)
)
)
)
)
(symbol "Up-Down_1_1"
(rectangle
(start -7.62 5.08)
(end 7.62 -5.08)
(stroke
(width 0.254)
(type default)
)
(fill
(type background)
)
)
)
)
)

View File

@ -0,0 +1,177 @@
Apache License
Version 2.0, January 2004
http://www.apache.org/licenses/
TERMS AND CONDITIONS FOR USE, REPRODUCTION, AND DISTRIBUTION
1. Definitions.
"License" shall mean the terms and conditions for use, reproduction,
and distribution as defined by Sections 1 through 9 of this document.
"Licensor" shall mean the copyright owner or entity authorized by
the copyright owner that is granting the License.
"Legal Entity" shall mean the union of the acting entity and all
other entities that control, are controlled by, or are under common
control with that entity. For the purposes of this definition,
"control" means (i) the power, direct or indirect, to cause the
direction or management of such entity, whether by contract or
otherwise, or (ii) ownership of fifty percent (50%) or more of the
outstanding shares, or (iii) beneficial ownership of such entity.
"You" (or "Your") shall mean an individual or Legal Entity
exercising permissions granted by this License.
"Source" form shall mean the preferred form for making modifications,
including but not limited to software source code, documentation
source, and configuration files.
"Object" form shall mean any form resulting from mechanical
transformation or translation of a Source form, including but
not limited to compiled object code, generated documentation,
and conversions to other media types.
"Work" shall mean the work of authorship, whether in Source or
Object form, made available under the License, as indicated by a
copyright notice that is included in or attached to the work
(an example is provided in the Appendix below).
"Derivative Works" shall mean any work, whether in Source or Object
form, that is based on (or derived from) the Work and for which the
editorial revisions, annotations, elaborations, or other modifications
represent, as a whole, an original work of authorship. For the purposes
of this License, Derivative Works shall not include works that remain
separable from, or merely link (or bind by name) to the interfaces of,
the Work and Derivative Works thereof.
"Contribution" shall mean any work of authorship, including
the original version of the Work and any modifications or additions
to that Work or Derivative Works thereof, that is intentionally
submitted to Licensor for inclusion in the Work by the copyright owner
or by an individual or Legal Entity authorized to submit on behalf of
the copyright owner. For the purposes of this definition, "submitted"
means any form of electronic, verbal, or written communication sent
to the Licensor or its representatives, including but not limited to
communication on electronic mailing lists, source code control systems,
and issue tracking systems that are managed by, or on behalf of, the
Licensor for the purpose of discussing and improving the Work, but
excluding communication that is conspicuously marked or otherwise
designated in writing by the copyright owner as "Not a Contribution."
"Contributor" shall mean Licensor and any individual or Legal Entity
on behalf of whom a Contribution has been received by Licensor and
subsequently incorporated within the Work.
2. Grant of Copyright License. Subject to the terms and conditions of
this License, each Contributor hereby grants to You a perpetual,
worldwide, non-exclusive, no-charge, royalty-free, irrevocable
copyright license to reproduce, prepare Derivative Works of,
publicly display, publicly perform, sublicense, and distribute the
Work and such Derivative Works in Source or Object form.
3. Grant of Patent License. Subject to the terms and conditions of
this License, each Contributor hereby grants to You a perpetual,
worldwide, non-exclusive, no-charge, royalty-free, irrevocable
(except as stated in this section) patent license to make, have made,
use, offer to sell, sell, import, and otherwise transfer the Work,
where such license applies only to those patent claims licensable
by such Contributor that are necessarily infringed by their
Contribution(s) alone or by combination of their Contribution(s)
with the Work to which such Contribution(s) was submitted. If You
institute patent litigation against any entity (including a
cross-claim or counterclaim in a lawsuit) alleging that the Work
or a Contribution incorporated within the Work constitutes direct
or contributory patent infringement, then any patent licenses
granted to You under this License for that Work shall terminate
as of the date such litigation is filed.
4. Redistribution. You may reproduce and distribute copies of the
Work or Derivative Works thereof in any medium, with or without
modifications, and in Source or Object form, provided that You
meet the following conditions:
(a) You must give any other recipients of the Work or
Derivative Works a copy of this License; and
(b) You must cause any modified files to carry prominent notices
stating that You changed the files; and
(c) You must retain, in the Source form of any Derivative Works
that You distribute, all copyright, patent, trademark, and
attribution notices from the Source form of the Work,
excluding those notices that do not pertain to any part of
the Derivative Works; and
(d) If the Work includes a "NOTICE" text file as part of its
distribution, then any Derivative Works that You distribute must
include a readable copy of the attribution notices contained
within such NOTICE file, excluding those notices that do not
pertain to any part of the Derivative Works, in at least one
of the following places: within a NOTICE text file distributed
as part of the Derivative Works; within the Source form or
documentation, if provided along with the Derivative Works; or,
within a display generated by the Derivative Works, if and
wherever such third-party notices normally appear. The contents
of the NOTICE file are for informational purposes only and
do not modify the License. You may add Your own attribution
notices within Derivative Works that You distribute, alongside
or as an addendum to the NOTICE text from the Work, provided
that such additional attribution notices cannot be construed
as modifying the License.
You may add Your own copyright statement to Your modifications and
may provide additional or different license terms and conditions
for use, reproduction, or distribution of Your modifications, or
for any such Derivative Works as a whole, provided Your use,
reproduction, and distribution of the Work otherwise complies with
the conditions stated in this License.
5. Submission of Contributions. Unless You explicitly state otherwise,
any Contribution intentionally submitted for inclusion in the Work
by You to the Licensor shall be under the terms and conditions of
this License, without any additional terms or conditions.
Notwithstanding the above, nothing herein shall supersede or modify
the terms of any separate license agreement you may have executed
with Licensor regarding such Contributions.
6. Trademarks. This License does not grant permission to use the trade
names, trademarks, service marks, or product names of the Licensor,
except as required for reasonable and customary use in describing the
origin of the Work and reproducing the content of the NOTICE file.
7. Disclaimer of Warranty. Unless required by applicable law or
agreed to in writing, Licensor provides the Work (and each
Contributor provides its Contributions) on an "AS IS" BASIS,
WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or
implied, including, without limitation, any warranties or conditions
of TITLE, NON-INFRINGEMENT, MERCHANTABILITY, or FITNESS FOR A
PARTICULAR PURPOSE. You are solely responsible for determining the
appropriateness of using or redistributing the Work and assume any
risks associated with Your exercise of permissions under this License.
8. Limitation of Liability. In no event and under no legal theory,
whether in tort (including negligence), contract, or otherwise,
unless required by applicable law (such as deliberate and grossly
negligent acts) or agreed to in writing, shall any Contributor be
liable to You for damages, including any direct, indirect, special,
incidental, or consequential damages of any character arising as a
result of this License or out of the use or inability to use the
Work (including but not limited to damages for loss of goodwill,
work stoppage, computer failure or malfunction, or any and all
other commercial damages or losses), even if such Contributor
has been advised of the possibility of such damages.
9. Accepting Warranty or Additional Liability. While redistributing
the Work or Derivative Works thereof, You may choose to offer,
and charge a fee for, acceptance of support, warranty, indemnity,
or other liability obligations and/or rights consistent with this
License. However, in accepting such obligations, You may act only
on Your own behalf and on Your sole responsibility, not on behalf
of any other Contributor, and only if You agree to indemnify,
defend, and hold each Contributor harmless for any liability
incurred by, or claims asserted against, such Contributor by reason
of your accepting any such warranty or additional liability.
END OF TERMS AND CONDITIONS

File diff suppressed because one or more lines are too long

View File

@ -0,0 +1,6 @@
(fp_lib_table
(version 7)
(lib (name "ttlib")(type "KiCad")(uri "${KIPRJMOD}/tinytapeout-kicad-libs/footprints/ttlib.pretty")(options "")(descr ""))
(lib (name "TinyTapeout")(type "KiCad")(uri "${KIPRJMOD}/tinytapeout-kicad-libs/footprints/TinyTapeout.pretty")(options "")(descr ""))
(lib (name "MCU_RaspberryPi_and_Boards")(type "KiCad")(uri "${KIPRJMOD}/tinytapeout-kicad-libs/footprints/MCU_RaspberryPi_and_Boards.pretty")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,268 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1*
G04 #@! TF.CreationDate,2023-12-15T15:20:45-05:00*
G04 #@! TF.ProjectId,tinytapeout-demo,74696e79-7461-4706-956f-75742d64656d,0.9.4*
G04 #@! TF.SameCoordinates,PX35e1f20PY8044ea0*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.9-7.0.9~ubuntu22.04.1) date 2023-12-15 15:20:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10C,0.900000*%
%ADD11C,6.400000*%
%ADD12O,1.250000X2.400000*%
%ADD13O,1.180000X2.080000*%
%ADD14R,1.700000X1.700000*%
%ADD15O,1.700000X1.700000*%
%ADD16C,1.700000*%
%ADD17R,1.000000X2.510000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,JP7*
X99900000Y16780000D03*
X96900000Y16780000D03*
G04 #@! TD*
G04 #@! TO.C,JP1*
X99900000Y31780000D03*
X96900000Y31780000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,MT3*
X3750000Y77250000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,J15*
X33870000Y79000000D03*
D13*
X22630000Y75000000D03*
X33870000Y75000000D03*
D12*
X22630000Y79000000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J13*
X4500000Y34440000D03*
D15*
X4500000Y36980000D03*
X4500000Y39520000D03*
X4500000Y42060000D03*
X4500000Y44600000D03*
D16*
X4500000Y47140000D03*
D15*
X1960000Y34440000D03*
X1960000Y36980000D03*
X1960000Y39520000D03*
X1960000Y42060000D03*
X1960000Y44600000D03*
X1960000Y47140000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,J2*
X18810000Y3000000D03*
D15*
X16270000Y3000000D03*
X13730000Y3000000D03*
X11190000Y3000000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,JP3*
X99900000Y26780000D03*
X96900000Y26780000D03*
G04 #@! TD*
G04 #@! TO.C,JP8*
X99900000Y14280000D03*
X96900000Y14280000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,J8*
X64545000Y3000000D03*
D15*
X62005000Y3000000D03*
X59465000Y3000000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J12*
X4500000Y11580000D03*
D15*
X4500000Y14120000D03*
X4500000Y16660000D03*
X4500000Y19200000D03*
X4500000Y21740000D03*
D16*
X4500000Y24280000D03*
D15*
X1960000Y11580000D03*
X1960000Y14120000D03*
X1960000Y16660000D03*
X1960000Y19200000D03*
X1960000Y21740000D03*
X1960000Y24280000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J3*
X34045000Y5545000D03*
D15*
X31505000Y5545000D03*
X28965000Y5545000D03*
X26425000Y5545000D03*
X23885000Y5545000D03*
D16*
X21345000Y5545000D03*
D15*
X34045000Y3005000D03*
X31505000Y3005000D03*
X28965000Y3005000D03*
X26425000Y3005000D03*
X23885000Y3005000D03*
X21345000Y3005000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,MT4*
X100750000Y77250000D03*
G04 #@! TD*
G04 #@! TO.C,MT2*
X100750000Y3750000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J5*
X56905000Y5545000D03*
D15*
X54365000Y5545000D03*
X51825000Y5545000D03*
X49285000Y5545000D03*
X46745000Y5545000D03*
D16*
X44205000Y5545000D03*
D15*
X56905000Y3005000D03*
X54365000Y3005000D03*
X51825000Y3005000D03*
X49285000Y3005000D03*
X46745000Y3005000D03*
X44205000Y3005000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,JP2*
X99900000Y29280000D03*
X96900000Y29280000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J10*
X1690000Y29260000D03*
D15*
X4230000Y29260000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,J17*
X41700000Y3000000D03*
D15*
X39160000Y3000000D03*
X36620000Y3000000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J9*
X95000000Y79000000D03*
D15*
X92460000Y79000000D03*
X89920000Y79000000D03*
X87380000Y79000000D03*
X84840000Y79000000D03*
X82300000Y79000000D03*
X79760000Y79000000D03*
X77220000Y79000000D03*
X74680000Y79000000D03*
X72140000Y79000000D03*
X69600000Y79000000D03*
X67060000Y79000000D03*
X64520000Y79000000D03*
X61980000Y79000000D03*
X59440000Y79000000D03*
X56900000Y79000000D03*
X54360000Y79000000D03*
X51820000Y79000000D03*
X49280000Y79000000D03*
X46740000Y79000000D03*
X44200000Y79000000D03*
X41660000Y79000000D03*
X39120000Y79000000D03*
X36580000Y79000000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,JP5*
X99900000Y21780000D03*
X96900000Y21780000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J14*
X4500000Y57300000D03*
D15*
X4500000Y59840000D03*
X4500000Y62380000D03*
X4500000Y64920000D03*
X4500000Y67460000D03*
D16*
X4500000Y70000000D03*
D15*
X1960000Y57300000D03*
X1960000Y59840000D03*
X1960000Y62380000D03*
X1960000Y64920000D03*
X1960000Y67460000D03*
X1960000Y70000000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,JP6*
X99900000Y19280000D03*
X96900000Y19280000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J1*
X95000000Y3000000D03*
D15*
X92460000Y3000000D03*
X89920000Y3000000D03*
X87380000Y3000000D03*
X84840000Y3000000D03*
X82300000Y3000000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,J6*
X79765000Y5545000D03*
D15*
X77225000Y5545000D03*
X74685000Y5545000D03*
X72145000Y5545000D03*
X69605000Y5545000D03*
D16*
X67065000Y5545000D03*
D15*
X79765000Y3005000D03*
X77225000Y3005000D03*
X74685000Y3005000D03*
X72145000Y3005000D03*
X69605000Y3005000D03*
X67065000Y3005000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,JP4*
X99900000Y24280000D03*
X96900000Y24280000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,MT1*
X3750000Y3750000D03*
G04 #@! TD*
D17*
G04 #@! TO.C,J11*
X22950000Y30775000D03*
X20410000Y27465000D03*
X17870000Y30775000D03*
X15330000Y27465000D03*
X12790000Y30775000D03*
X10250000Y27465000D03*
G04 #@! TD*
M02*

View File

@ -0,0 +1,25 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1*
G04 #@! TF.CreationDate,2023-12-15T15:20:45-05:00*
G04 #@! TF.ProjectId,tinytapeout-demo,74696e79-7461-4706-956f-75742d64656d,0.9.4*
G04 #@! TF.SameCoordinates,PX35e1f20PY8044ea0*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.9-7.0.9~ubuntu22.04.1) date 2023-12-15 15:20:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
%ADD10R,1.000000X2.510000*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,J11*
X22950000Y30775000D03*
X20410000Y27465000D03*
X17870000Y30775000D03*
X15330000Y27465000D03*
X12790000Y30775000D03*
X10250000Y27465000D03*
G04 #@! TD*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,100 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1*
G04 #@! TF.CreationDate,2023-12-15T15:20:45-05:00*
G04 #@! TF.ProjectId,tinytapeout-demo,74696e79-7461-4706-956f-75742d64656d,0.9.4*
G04 #@! TF.SameCoordinates,PX35e1f20PY8044ea0*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.9-7.0.9~ubuntu22.04.1) date 2023-12-15 15:20:45*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.100000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Profile*
%ADD11C,0.080000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X23630000Y80600000D02*
G75*
G03*
X23230000Y81000000I-400000J0D01*
G01*
X33270000Y81000000D02*
G75*
G03*
X32870000Y80600000I0J-400000D01*
G01*
X104500000Y78000000D02*
G75*
G03*
X101500000Y81000000I-3000000J0D01*
G01*
X33270000Y81000000D02*
X101500000Y81000000D01*
X101500000Y0D02*
X3000000Y0D01*
X104500000Y78000000D02*
X104500000Y3000000D01*
X0Y3000000D02*
G75*
G03*
X3000000Y0I3000000J0D01*
G01*
X23230000Y81000000D02*
X3000000Y81000000D01*
X3000000Y81000000D02*
G75*
G03*
X0Y78000000I0J-3000000D01*
G01*
X0Y3000000D02*
X0Y78000000D01*
X101500000Y0D02*
G75*
G03*
X104500000Y3000000I0J3000000D01*
G01*
D11*
G04 #@! TO.C,J15*
X32870000Y80600000D02*
X32870000Y74400000D01*
X32070000Y74400000D02*
X24430000Y74400000D01*
X23630000Y74400000D02*
X23630000Y80600000D01*
X32545000Y74000001D02*
G75*
G03*
X32869999Y74400000I-37502J362500D01*
G01*
X32220001Y74280000D02*
G75*
G03*
X32545000Y74000001I302498J22499D01*
G01*
X32219999Y74280000D02*
G75*
G03*
X32070001Y74399998I-134999J-15001D01*
G01*
X24430000Y74399998D02*
G75*
G03*
X24280002Y74279999I-15000J-134998D01*
G01*
X23955000Y74000003D02*
G75*
G03*
X24279997Y74279999I22500J302497D01*
G01*
X23630000Y74400000D02*
G75*
G03*
X23955000Y74000000I362500J-37500D01*
G01*
G04 #@! TD*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,865 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1*
G04 #@! TF.CreationDate,2023-12-15T15:20:44-05:00*
G04 #@! TF.ProjectId,tinytapeout-demo,74696e79-7461-4706-956f-75742d64656d,0.9.4*
G04 #@! TF.SameCoordinates,PX35e1f20PY8044ea0*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.9-7.0.9~ubuntu22.04.1) date 2023-12-15 15:20:44*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.225000X0.225000X0.250000X-0.225000X0.250000X-0.225000X-0.250000X0.225000X-0.250000X0*%
%ADD11RoundRect,0.135000X0.185000X-0.135000X0.185000X0.135000X-0.185000X0.135000X-0.185000X-0.135000X0*%
%ADD12RoundRect,0.225000X-0.250000X0.225000X-0.250000X-0.225000X0.250000X-0.225000X0.250000X0.225000X0*%
%ADD13RoundRect,0.140000X-0.140000X-0.170000X0.140000X-0.170000X0.140000X0.170000X-0.140000X0.170000X0*%
%ADD14RoundRect,0.135000X-0.185000X0.135000X-0.185000X-0.135000X0.185000X-0.135000X0.185000X0.135000X0*%
%ADD15RoundRect,0.135000X0.135000X0.185000X-0.135000X0.185000X-0.135000X-0.185000X0.135000X-0.185000X0*%
%ADD16R,0.600000X1.100000*%
%ADD17R,0.300000X1.100000*%
%ADD18RoundRect,0.250000X0.250000X0.475000X-0.250000X0.475000X-0.250000X-0.475000X0.250000X-0.475000X0*%
%ADD19RoundRect,0.135000X-0.135000X-0.185000X0.135000X-0.185000X0.135000X0.185000X-0.135000X0.185000X0*%
%ADD20RoundRect,0.140000X-0.170000X0.140000X-0.170000X-0.140000X0.170000X-0.140000X0.170000X0.140000X0*%
%ADD21RoundRect,0.200000X0.200000X0.275000X-0.200000X0.275000X-0.200000X-0.275000X0.200000X-0.275000X0*%
%ADD22RoundRect,0.140000X0.170000X-0.140000X0.170000X0.140000X-0.170000X0.140000X-0.170000X-0.140000X0*%
%ADD23R,1.400000X1.200000*%
%ADD24RoundRect,0.225000X-0.225000X-0.250000X0.225000X-0.250000X0.225000X0.250000X-0.225000X0.250000X0*%
%ADD25RoundRect,0.140000X0.140000X0.170000X-0.140000X0.170000X-0.140000X-0.170000X0.140000X-0.170000X0*%
%ADD26RoundRect,0.225000X0.250000X-0.225000X0.250000X0.225000X-0.250000X0.225000X-0.250000X-0.225000X0*%
%ADD27R,1.500000X1.400000*%
%ADD28R,1.000000X2.510000*%
%ADD29R,2.200000X1.100000*%
%ADD30RoundRect,0.150000X-0.150000X0.650000X-0.150000X-0.650000X0.150000X-0.650000X0.150000X0.650000X0*%
%ADD31RoundRect,0.200000X0.300000X-0.950000X0.300000X0.950000X-0.300000X0.950000X-0.300000X-0.950000X0*%
%ADD32R,1.000000X2.300000*%
%ADD33RoundRect,0.150000X-0.650000X-0.150000X0.650000X-0.150000X0.650000X0.150000X-0.650000X0.150000X0*%
%ADD34RoundRect,0.249999X-0.395001X-0.395001X0.395001X-0.395001X0.395001X0.395001X-0.395001X0.395001X0*%
%ADD35RoundRect,0.050000X-0.387500X-0.050000X0.387500X-0.050000X0.387500X0.050000X-0.387500X0.050000X0*%
%ADD36RoundRect,0.050000X-0.050000X-0.387500X0.050000X-0.387500X0.050000X0.387500X-0.050000X0.387500X0*%
%ADD37RoundRect,0.243750X0.243750X0.456250X-0.243750X0.456250X-0.243750X-0.456250X0.243750X-0.456250X0*%
%ADD38RoundRect,0.200000X0.200000X0.250000X-0.200000X0.250000X-0.200000X-0.250000X0.200000X-0.250000X0*%
%ADD39RoundRect,0.200000X0.275000X-0.200000X0.275000X0.200000X-0.275000X0.200000X-0.275000X-0.200000X0*%
%ADD40RoundRect,0.100000X0.100000X-0.637500X0.100000X0.637500X-0.100000X0.637500X-0.100000X-0.637500X0*%
%ADD41RoundRect,0.150000X-0.150000X0.512500X-0.150000X-0.512500X0.150000X-0.512500X0.150000X0.512500X0*%
%ADD42R,1.500000X2.000000*%
%ADD43R,3.800000X2.000000*%
%ADD44R,3.150000X1.000000*%
%ADD45RoundRect,0.218750X0.256250X-0.218750X0.256250X0.218750X-0.256250X0.218750X-0.256250X-0.218750X0*%
%ADD46RoundRect,0.250000X-0.250000X-0.475000X0.250000X-0.475000X0.250000X0.475000X-0.250000X0.475000X0*%
%ADD47RoundRect,0.218750X-0.218750X-0.256250X0.218750X-0.256250X0.218750X0.256250X-0.218750X0.256250X0*%
G04 APERTURE END LIST*
D10*
G04 #@! TO.C,C21*
X94775000Y74500000D03*
X93225000Y74500000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R15*
X99500000Y70790000D03*
X99500000Y71810000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,C32*
X28200000Y27700000D03*
X28200000Y26150000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C23*
X68195000Y72000000D03*
X69155000Y72000000D03*
G04 #@! TD*
G04 #@! TO.C,C36*
X26920000Y14250000D03*
X27880000Y14250000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,R20*
X26900000Y71710000D03*
X26900000Y70690000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R38*
X24360000Y31050000D03*
X23340000Y31050000D03*
G04 #@! TD*
D16*
G04 #@! TO.C,J15*
X31450000Y73550000D03*
X30650000Y73550000D03*
D17*
X29500000Y73550000D03*
X28500000Y73550000D03*
X28000000Y73550000D03*
X27000000Y73550000D03*
D16*
X25050000Y73550000D03*
X25850000Y73550000D03*
D17*
X26500000Y73550000D03*
X27500000Y73550000D03*
X29000000Y73550000D03*
X30000000Y73550000D03*
G04 #@! TD*
D18*
G04 #@! TO.C,C6*
X16190000Y67290000D03*
X14290000Y67290000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R5*
X14390000Y52271429D03*
X15410000Y52271429D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C56*
X8700000Y23880000D03*
X8700000Y22920000D03*
G04 #@! TD*
D18*
G04 #@! TO.C,C7*
X20800000Y79700000D03*
X18900000Y79700000D03*
G04 #@! TD*
D21*
G04 #@! TO.C,R7*
X42325000Y14750000D03*
X40675000Y14750000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R1*
X14390000Y42100000D03*
X15410000Y42100000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C29*
X22400000Y10870000D03*
X22400000Y11830000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C24*
X68195000Y29000000D03*
X69155000Y29000000D03*
G04 #@! TD*
G04 #@! TO.C,C8*
X47595000Y11100000D03*
X48555000Y11100000D03*
G04 #@! TD*
D23*
G04 #@! TO.C,Y1*
X23900000Y10900000D03*
X26100000Y10900000D03*
X26100000Y12600000D03*
X23900000Y12600000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R18*
X90400000Y70790000D03*
X90400000Y71810000D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C58*
X8500000Y69880000D03*
X8500000Y68920000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C27*
X11120000Y25400000D03*
X12080000Y25400000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R11*
X14390000Y59900000D03*
X15410000Y59900000D03*
G04 #@! TD*
D24*
G04 #@! TO.C,C16*
X65400000Y13260000D03*
X66950000Y13260000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R43*
X93810000Y59500000D03*
X92790000Y59500000D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C4*
X17020000Y6165000D03*
X17020000Y7125000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R24*
X95765000Y26808572D03*
X94745000Y26808572D03*
G04 #@! TD*
G04 #@! TO.C,R22*
X95765000Y31820000D03*
X94745000Y31820000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R3*
X14390000Y47185715D03*
X15410000Y47185715D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C2*
X63020000Y14900000D03*
X63980000Y14900000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C18*
X69155000Y26500000D03*
X68195000Y26500000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,R41*
X39250000Y14547500D03*
X39250000Y13527500D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C42*
X87100000Y70425000D03*
X87100000Y71975000D03*
G04 #@! TD*
D27*
G04 #@! TO.C,SW2*
X67250000Y10000000D03*
X60750000Y10000000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R8*
X61100000Y12890000D03*
X61100000Y13910000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C28*
X87680000Y65800000D03*
X86720000Y65800000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C35*
X32120000Y19200000D03*
X33080000Y19200000D03*
G04 #@! TD*
D28*
G04 #@! TO.C,J7*
X63190000Y21245000D03*
X60650000Y24555000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R12*
X40990000Y13250000D03*
X42010000Y13250000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,C25*
X94775000Y76040000D03*
X93225000Y76040000D03*
G04 #@! TD*
D12*
G04 #@! TO.C,C5*
X18400000Y7675000D03*
X18400000Y6125000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R13*
X61090000Y14900000D03*
X62110000Y14900000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,R21*
X30200000Y70910000D03*
X30200000Y69890000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R42*
X86790000Y73200000D03*
X87810000Y73200000D03*
G04 #@! TD*
G04 #@! TO.C,R2*
X14390000Y44642858D03*
X15410000Y44642858D03*
G04 #@! TD*
D29*
G04 #@! TO.C,SW4*
X17600000Y59890000D03*
X17600000Y57350000D03*
X17600000Y54810000D03*
X17600000Y52270000D03*
X17600000Y49730000D03*
X17600000Y47190000D03*
X17600000Y44650000D03*
X17600000Y42110000D03*
X26400000Y42110000D03*
X26400000Y44650000D03*
X26400000Y47190000D03*
X26400000Y49730000D03*
X26400000Y52270000D03*
X26400000Y54810000D03*
X26400000Y57350000D03*
X26400000Y59890000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,R19*
X95250000Y64010000D03*
X95250000Y62990000D03*
G04 #@! TD*
D30*
G04 #@! TO.C,U7*
X93905000Y57600000D03*
X92635000Y57600000D03*
X91365000Y57600000D03*
X90095000Y57600000D03*
X90095000Y50400000D03*
X91365000Y50400000D03*
X92635000Y50400000D03*
X93905000Y50400000D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C30*
X27700000Y12630000D03*
X27700000Y11670000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R28*
X95765000Y16785716D03*
X94745000Y16785716D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C39*
X21280000Y24100000D03*
X20320000Y24100000D03*
G04 #@! TD*
D31*
G04 #@! TO.C,U1*
X87050000Y17550000D03*
D32*
X88550000Y17550000D03*
X90050000Y17550000D03*
X91550000Y17550000D03*
X93050000Y17550000D03*
X93050000Y28450000D03*
X91550000Y28450000D03*
X90050000Y28450000D03*
X88550000Y28450000D03*
X87050000Y28450000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R23*
X95765000Y29314286D03*
X94745000Y29314286D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C38*
X20180000Y19300000D03*
X19220000Y19300000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R45*
X93810000Y47600000D03*
X92790000Y47600000D03*
G04 #@! TD*
D33*
G04 #@! TO.C,U5*
X14400000Y31905000D03*
X14400000Y30635000D03*
X14400000Y29365000D03*
X14400000Y28095000D03*
X21600000Y28095000D03*
X21600000Y29365000D03*
X21600000Y30635000D03*
X21600000Y31905000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C37*
X29700000Y26125000D03*
X29700000Y27675000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R35*
X11740000Y29035000D03*
X12760000Y29035000D03*
G04 #@! TD*
D34*
G04 #@! TO.C,U6*
X25200000Y21300000D03*
X25200000Y19700000D03*
X26800000Y21300000D03*
X26800000Y19700000D03*
D35*
X22562500Y23100000D03*
X22562500Y22700000D03*
X22562500Y22300000D03*
X22562500Y21900000D03*
X22562500Y21500000D03*
X22562500Y21100000D03*
X22562500Y20700000D03*
X22562500Y20300000D03*
X22562500Y19900000D03*
X22562500Y19500000D03*
X22562500Y19100000D03*
X22562500Y18700000D03*
X22562500Y18300000D03*
X22562500Y17900000D03*
D36*
X23400000Y17062500D03*
X23800000Y17062500D03*
X24200000Y17062500D03*
X24600000Y17062500D03*
X25000000Y17062500D03*
X25400000Y17062500D03*
X25800000Y17062500D03*
X26200000Y17062500D03*
X26600000Y17062500D03*
X27000000Y17062500D03*
X27400000Y17062500D03*
X27800000Y17062500D03*
X28200000Y17062500D03*
X28600000Y17062500D03*
D35*
X29437500Y17900000D03*
X29437500Y18300000D03*
X29437500Y18700000D03*
X29437500Y19100000D03*
X29437500Y19500000D03*
X29437500Y19900000D03*
X29437500Y20300000D03*
X29437500Y20700000D03*
X29437500Y21100000D03*
X29437500Y21500000D03*
X29437500Y21900000D03*
X29437500Y22300000D03*
X29437500Y22700000D03*
X29437500Y23100000D03*
D36*
X28600000Y23937500D03*
X28200000Y23937500D03*
X27800000Y23937500D03*
X27400000Y23937500D03*
X27000000Y23937500D03*
X26600000Y23937500D03*
X26200000Y23937500D03*
X25800000Y23937500D03*
X25400000Y23937500D03*
X25000000Y23937500D03*
X24600000Y23937500D03*
X24200000Y23937500D03*
X23800000Y23937500D03*
X23400000Y23937500D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C33*
X31100000Y25920000D03*
X31100000Y26880000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R27*
X95765000Y19291430D03*
X94745000Y19291430D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C20*
X68195000Y55500000D03*
X69155000Y55500000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,C9*
X49150000Y9900000D03*
X47600000Y9900000D03*
G04 #@! TD*
D37*
G04 #@! TO.C,F1*
X19627500Y67302500D03*
X17752500Y67302500D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C12*
X15020000Y80200000D03*
X15980000Y80200000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R40*
X11740000Y30335000D03*
X12760000Y30335000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R37*
X25900000Y13990000D03*
X25900000Y15010000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C26*
X68195000Y23500000D03*
X69155000Y23500000D03*
G04 #@! TD*
G04 #@! TO.C,C19*
X68195000Y69500000D03*
X69155000Y69500000D03*
G04 #@! TD*
D38*
G04 #@! TO.C,X1*
X88125000Y66975000D03*
X88125000Y68825000D03*
X86675000Y68825000D03*
X86675000Y66975000D03*
G04 #@! TD*
D10*
G04 #@! TO.C,C15*
X71225000Y8900000D03*
X69675000Y8900000D03*
G04 #@! TD*
D27*
G04 #@! TO.C,SW3*
X1650000Y52900000D03*
X8150000Y52900000D03*
G04 #@! TD*
D39*
G04 #@! TO.C,R16*
X37200000Y74875000D03*
X37200000Y76525000D03*
G04 #@! TD*
D24*
G04 #@! TO.C,C17*
X65400000Y14760000D03*
X66950000Y14760000D03*
G04 #@! TD*
D40*
G04 #@! TO.C,U4*
X11725000Y18137500D03*
X12375000Y18137500D03*
X13025000Y18137500D03*
X13675000Y18137500D03*
X14325000Y18137500D03*
X14975000Y18137500D03*
X15625000Y18137500D03*
X16275000Y18137500D03*
X16275000Y23862500D03*
X15625000Y23862500D03*
X14975000Y23862500D03*
X14325000Y23862500D03*
X13675000Y23862500D03*
X13025000Y23862500D03*
X12375000Y23862500D03*
X11725000Y23862500D03*
G04 #@! TD*
D27*
G04 #@! TO.C,SW1*
X44750000Y10000000D03*
X38250000Y10000000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C3*
X35700000Y74925000D03*
X35700000Y76475000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R17*
X94550000Y70790000D03*
X94550000Y71810000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C34*
X31570000Y23900000D03*
X32530000Y23900000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R31*
X37510000Y64600000D03*
X36490000Y64600000D03*
G04 #@! TD*
D41*
G04 #@! TO.C,U3*
X21050000Y77637500D03*
X20100000Y77637500D03*
X19150000Y77637500D03*
X19150000Y75362500D03*
X21050000Y75362500D03*
G04 #@! TD*
D20*
G04 #@! TO.C,C60*
X8400000Y47030000D03*
X8400000Y46070000D03*
G04 #@! TD*
D42*
G04 #@! TO.C,U2*
X12350000Y69850000D03*
X14650000Y69850000D03*
D43*
X14650000Y76150000D03*
D42*
X16950000Y69850000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R25*
X95765000Y24302858D03*
X94745000Y24302858D03*
G04 #@! TD*
G04 #@! TO.C,R29*
X95765000Y14280000D03*
X94745000Y14280000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C61*
X7150000Y45425000D03*
X7150000Y46975000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R47*
X93810000Y46600000D03*
X92790000Y46600000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R6*
X14390000Y54814286D03*
X15410000Y54814286D03*
G04 #@! TD*
D10*
G04 #@! TO.C,C11*
X20925000Y73600000D03*
X19375000Y73600000D03*
G04 #@! TD*
D44*
G04 #@! TO.C,J4*
X41395000Y72030000D03*
X46445000Y72030000D03*
X41395000Y69490000D03*
X46445000Y69490000D03*
X41395000Y66950000D03*
X46445000Y66950000D03*
X41395000Y64410000D03*
X46445000Y64410000D03*
X41395000Y61870000D03*
X46445000Y61870000D03*
X41395000Y59330000D03*
X46445000Y59330000D03*
X41395000Y56790000D03*
X46445000Y56790000D03*
X41395000Y54250000D03*
X46445000Y54250000D03*
X41395000Y51710000D03*
X46445000Y51710000D03*
X41395000Y49170000D03*
X46445000Y49170000D03*
X41395000Y46630000D03*
X46445000Y46630000D03*
X41395000Y44090000D03*
X46445000Y44090000D03*
X41395000Y41550000D03*
X46445000Y41550000D03*
X41395000Y39010000D03*
X46445000Y39010000D03*
X41395000Y36470000D03*
X46445000Y36470000D03*
X41395000Y33930000D03*
X46445000Y33930000D03*
X41395000Y31390000D03*
X46445000Y31390000D03*
X41395000Y28850000D03*
X46445000Y28850000D03*
X41395000Y26310000D03*
X46445000Y26310000D03*
X41395000Y23770000D03*
X46445000Y23770000D03*
X77395000Y72030000D03*
X82445000Y72030000D03*
X77395000Y69490000D03*
X82445000Y69490000D03*
X77395000Y66950000D03*
X82445000Y66950000D03*
X77395000Y64410000D03*
X82445000Y64410000D03*
X77395000Y61870000D03*
X82445000Y61870000D03*
X77395000Y59330000D03*
X82445000Y59330000D03*
X77395000Y56790000D03*
X82445000Y56790000D03*
X77395000Y54250000D03*
X82445000Y54250000D03*
X77395000Y51710000D03*
X82445000Y51710000D03*
X77395000Y49170000D03*
X82445000Y49170000D03*
X77395000Y46630000D03*
X82445000Y46630000D03*
X77395000Y44090000D03*
X82445000Y44090000D03*
X77395000Y41550000D03*
X82445000Y41550000D03*
X77395000Y39010000D03*
X82445000Y39010000D03*
X77395000Y36470000D03*
X82445000Y36470000D03*
X77395000Y33930000D03*
X82445000Y33930000D03*
X77395000Y31390000D03*
X82445000Y31390000D03*
X77395000Y28850000D03*
X82445000Y28850000D03*
X77395000Y26310000D03*
X82445000Y26310000D03*
X77395000Y23770000D03*
X82445000Y23770000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C43*
X95280000Y48800000D03*
X94320000Y48800000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R10*
X14390000Y57357143D03*
X15410000Y57357143D03*
G04 #@! TD*
D45*
G04 #@! TO.C,D2*
X95800000Y70212500D03*
X95800000Y71787500D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R30*
X36490000Y68900000D03*
X37510000Y68900000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R44*
X93810000Y60600000D03*
X92790000Y60600000D03*
G04 #@! TD*
G04 #@! TO.C,R26*
X95765000Y21797144D03*
X94745000Y21797144D03*
G04 #@! TD*
D22*
G04 #@! TO.C,C41*
X25800000Y26070000D03*
X25800000Y27030000D03*
G04 #@! TD*
D25*
G04 #@! TO.C,C13*
X21080000Y72100000D03*
X20120000Y72100000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R48*
X91810000Y47600000D03*
X90790000Y47600000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C57*
X7200000Y22325000D03*
X7200000Y23875000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C14*
X69620000Y10200000D03*
X70580000Y10200000D03*
G04 #@! TD*
D45*
G04 #@! TO.C,D3*
X91700000Y70212500D03*
X91700000Y71787500D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R34*
X24410000Y29450000D03*
X23390000Y29450000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R9*
X6990000Y49500000D03*
X8010000Y49500000D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R46*
X91810000Y46600000D03*
X90790000Y46600000D03*
G04 #@! TD*
D14*
G04 #@! TO.C,R33*
X10500000Y23810000D03*
X10500000Y22790000D03*
G04 #@! TD*
D45*
G04 #@! TO.C,D1*
X100800000Y70212500D03*
X100800000Y71787500D03*
G04 #@! TD*
D15*
G04 #@! TO.C,R39*
X24410000Y27700000D03*
X23390000Y27700000D03*
G04 #@! TD*
D46*
G04 #@! TO.C,C10*
X15000000Y78540000D03*
X16900000Y78540000D03*
G04 #@! TD*
D47*
G04 #@! TO.C,D4*
X91712500Y63500000D03*
X93287500Y63500000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R32*
X36490000Y63300000D03*
X37510000Y63300000D03*
G04 #@! TD*
G04 #@! TO.C,R4*
X14390000Y49728572D03*
X15410000Y49728572D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C40*
X27170000Y15200000D03*
X28130000Y15200000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C1*
X44000000Y13125000D03*
X44000000Y14675000D03*
G04 #@! TD*
D11*
G04 #@! TO.C,R36*
X6600000Y27890000D03*
X6600000Y28910000D03*
G04 #@! TD*
D26*
G04 #@! TO.C,C59*
X7200000Y68325000D03*
X7200000Y69875000D03*
G04 #@! TD*
D13*
G04 #@! TO.C,C31*
X21070000Y33400000D03*
X22030000Y33400000D03*
G04 #@! TD*
G04 #@! TO.C,C22*
X68195000Y31540000D03*
X69155000Y31540000D03*
G04 #@! TD*
D19*
G04 #@! TO.C,R14*
X1090000Y49500000D03*
X2110000Y49500000D03*
G04 #@! TD*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,13 @@
M48
; DRILL file {KiCad 7.0.9-7.0.9~ubuntu22.04.1} date Fri 15 Dec 2023 03:20:48 PM
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2023-12-15T15:20:48-05:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1
; #@! TF.FileFunction,NonPlated,1,4,NPTH
FMAT,2
METRIC
%
G90
G05
T0
M30

View File

@ -0,0 +1,740 @@
M48
; DRILL file {KiCad 7.0.9-7.0.9~ubuntu22.04.1} date Fri 15 Dec 2023 03:20:48 PM
; FORMAT={-:-/ absolute / metric / decimal}
; #@! TF.CreationDate,2023-12-15T15:20:48-05:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.9-7.0.9~ubuntu22.04.1
; #@! TF.FileFunction,Plated,1,4,PTH
FMAT,2
METRIC
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.300
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T2C0.300
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T3C0.400
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T4C0.600
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T5C1.000
; #@! TA.AperFunction,Plated,PTH,ComponentDrill
T6C3.200
%
G90
G05
T1
X4.9Y33.08
X5.724Y14.712
X5.998Y61.12
X6.433Y15.702
X6.525Y31.2
X6.543Y27.545
X6.632Y33.758
X6.677Y35.792
X7.055Y30.205
X7.067Y37.015
X7.2Y74.5
X7.2Y70.9
X7.3Y8.5
X7.382Y60.53
X7.8Y75.1
X8.2Y60.015
X8.3Y20.2
X8.62Y50.5
X8.65Y45.3
X8.674Y34.7
X9.1Y21.5
X9.109Y27.286
X9.252Y51.2
X9.4Y57.1
X9.507Y36.529
X9.6Y62.6
X9.6Y55.495
X9.6Y37.6
X9.65Y25.85
X9.9Y22.6
X10.025Y42.765
X10.152Y18.5
X10.154Y35.758
X10.4Y58.267
X10.47Y47.994
X10.47Y41.061
X10.8Y10.9
X11.102Y53.002
X11.903Y64.183
X12.18Y25.177
X12.2Y19.4
X12.5Y37.3
X12.58Y26.638
X12.626Y60.63
X12.8Y31.3
X13.029Y19.296
X13.45Y41.078
X13.5Y57.2
X13.5Y54.8
X13.5Y52.3
X13.5Y49.7
X13.5Y47.186
X13.5Y44.6
X13.506Y59.594
X13.709Y37.14
X13.9Y16.2
X14.157Y26.345
X14.232Y20.995
X14.291Y45.691
X14.322Y19.3
X14.325Y24.9
X14.5Y48.71
X14.942Y22.0
X14.989Y32.433
X15.25Y30.9
X15.558Y19.175
X15.639Y25.176
X15.665Y28.665
X16.1Y14.0
X16.2Y7.15
X16.287Y20.594
X16.555Y35.375
X16.602Y25.175
X16.9Y16.7
X16.924Y66.013
X17.1Y80.2
X17.1Y7.8
X17.346Y17.7
X17.6Y18.7
X17.648Y27.821
X17.68Y23.7
X17.85Y80.15
X18.0Y78.2
X18.0Y25.8
X18.175Y29.2
X18.221Y33.068
X18.807Y23.02
X18.9Y17.95
X19.0Y19.8
X19.2Y72.2
X19.25Y52.6
X19.476Y24.326
X19.5Y25.3
X19.762Y34.011
X19.77Y40.372
X19.964Y19.794
X20.1Y76.3
X20.194Y71.302
X20.3Y32.5
X20.436Y7.086
X20.476Y33.496
X20.527Y50.527
X21.057Y42.373
X21.2Y43.7
X21.3Y56.8
X21.3Y25.738
X21.3Y8.8
X21.5Y17.712
X21.922Y12.6
X22.4Y46.5
X22.521Y35.879
X22.637Y17.062
X22.7Y4.342
X22.8Y63.052
X22.912Y30.238
X23.1Y52.2
X23.1Y33.3
X23.7Y9.474
X23.73Y28.529
X23.9Y44.5
X23.981Y38.78
X24.026Y56.332
X24.1Y47.2
X24.159Y22.895
X24.65Y15.75
X24.738Y26.064
X24.898Y17.987
X25.099Y8.351
X25.312Y65.093
X25.442Y43.219
X25.675Y25.261
X25.8Y22.7
X26.2Y31.7
X26.407Y18.197
X26.473Y7.48
X26.506Y26.871
X26.924Y25.616
X27.1Y27.7
X27.247Y18.064
X27.4Y72.5
X27.55Y10.9
X27.615Y25.134
X28.162Y10.288
X28.527Y18.118
X28.671Y71.51
X28.8Y72.3
X28.85Y15.195
X28.85Y14.3
X29.444Y47.837
X29.5Y16.95
X29.6Y12.3
X30.11Y47.19
X30.3Y0.7
X30.35Y28.9
X30.35Y16.988
X31.027Y28.166
X31.1Y8.838
X31.6Y53.9
X31.6Y46.1
X32.0Y13.6
X32.0Y10.6
X32.0Y7.877
X32.004Y27.007
X32.371Y26.106
X32.39Y18.578
X32.4Y24.8
X32.5Y64.6
X32.688Y36.627
X32.752Y1.195
X32.889Y50.725
X32.95Y12.25
X33.0Y44.3
X33.264Y24.631
X33.371Y18.578
X33.7Y49.1
X34.167Y31.3
X34.556Y59.572
X34.88Y52.938
X35.05Y1.7
X35.114Y34.5
X35.2Y9.6
X35.22Y68.56
X35.4Y14.4
X35.85Y8.15
X35.97Y51.3
X35.986Y55.098
X35.995Y64.747
X36.0Y62.9
X36.234Y24.6
X36.234Y17.456
X36.5Y41.6
X36.8Y73.9
X36.8Y13.0
X37.9Y33.9
X38.507Y15.088
X38.6Y23.5
X38.8Y72.97
X39.1Y8.185
X39.172Y64.887
X39.176Y54.413
X39.3Y32.7
X39.572Y72.174
X40.25Y0.85
X41.8Y32.7
X43.098Y12.473
X43.1Y14.6
X43.25Y10.0
X44.046Y68.625
X44.05Y12.3
X44.1Y74.3
X44.67Y41.735
X45.896Y11.603
X45.9Y17.0
X46.3Y25.5
X46.6Y11.1
X46.65Y12.35
X46.8Y73.4
X48.6Y23.2
X48.741Y58.685
X49.247Y61.197
X49.285Y20.7
X50.0Y10.7
X50.1Y11.55
X50.2Y26.1
X50.267Y42.867
X50.444Y66.3
X51.042Y58.24
X51.042Y22.5
X51.6Y69.4
X51.755Y56.2
X51.8Y61.7
X51.835Y20.133
X51.867Y65.88
X52.427Y30.5
X52.468Y9.3
X53.1Y62.7
X53.1Y50.6
X53.14Y22.1
X53.9Y19.7
X54.4Y70.985
X54.4Y58.705
X54.4Y55.9
X54.967Y45.7
X54.967Y18.184
X55.152Y48.545
X55.7Y20.8
X55.999Y63.785
X56.9Y67.2
X57.35Y17.95
X58.0Y53.05
X58.037Y28.0
X58.2Y7.7
X58.7Y14.1
X58.8Y38.9
X59.6Y15.7
X59.8Y23.0
X60.9Y65.8
X60.9Y1.3
X61.51Y12.543
X61.8Y13.9
X61.847Y10.1
X61.9Y7.0
X61.9Y70.312
X63.19Y21.245
X63.2Y16.4
X64.25Y67.75
X64.3Y8.4
X64.331Y14.066
X64.55Y5.6
X65.4Y15.8
X65.9Y12.5
X66.22Y64.9
X66.7Y38.7
X67.0Y11.79
X67.55Y74.2
X68.2Y46.5
X69.0Y12.4
X69.0Y9.8
X69.0Y8.4
X70.0Y59.6
X70.5Y70.9
X70.807Y13.207
X72.1Y39.832
X72.14Y46.8
X73.256Y12.575
X74.7Y49.2
X74.7Y37.322
X75.7Y43.915
X75.9Y11.867
X76.4Y45.4
X77.2Y36.6
X78.397Y40.097
X78.397Y11.167
X79.2Y60.4
X79.825Y43.7
X82.3Y10.5
X82.4Y68.435
X84.8Y74.0
X84.8Y45.7
X84.8Y9.7
X84.9Y13.207
X86.2Y57.9
X86.2Y51.9
X87.1Y30.0
X87.15Y16.2
X87.2Y25.2
X87.4Y63.2
X87.4Y50.0
X87.45Y8.95
X87.82Y68.14
X88.05Y20.6
X88.15Y26.2
X88.4Y76.7
X88.65Y19.8
X88.669Y70.061
X88.775Y24.298
X89.92Y7.4
X90.0Y54.2
X90.0Y49.1
X90.05Y25.9
X90.05Y19.9
X90.1Y73.5
X90.531Y69.425
X91.45Y26.0
X91.45Y19.9
X92.0Y76.7
X92.5Y52.7
X92.65Y45.98
X92.703Y56.625
X93.8Y70.2
X94.35Y25.2
X94.35Y17.7
X94.4Y73.2
X94.4Y65.0
X94.4Y60.7
X94.5Y48.1
X95.7Y69.6
X98.6Y69.9
X99.1Y41.4
X99.7Y11.8
X100.0Y10.5
X100.529Y8.941
X100.553Y13.147
X100.679Y11.735
X101.174Y10.441
X101.551Y9.0
T2
X0.7Y63.6
X0.7Y58.6
X0.7Y12.9
X0.9Y72.3
X3.4Y49.5
X3.7Y9.0
X7.2Y34.8
X8.1Y73.6
X8.1Y62.9
X8.45Y67.55
X8.5Y19.1
X8.5Y13.1
X8.6Y58.2
X8.6Y54.8
X8.7Y41.9
X10.3Y39.0
X10.3Y29.3
X11.2Y54.7
X11.25Y80.2
X12.3Y21.3
X12.4Y71.8
X12.5Y49.7
X12.5Y45.7
X12.5Y41.9
X12.5Y13.1
X12.5Y5.2
X12.5Y0.7
X17.6Y13.9
X19.7Y5.2
X20.0Y48.8
X20.2Y57.3
X23.0Y43.3
X23.6Y8.1
X23.75Y71.0
X24.0Y73.3
X24.2Y33.3
X24.4Y18.9
X24.5Y22.1
X26.0Y63.0
X26.0Y20.5
X27.0Y68.2
X27.7Y22.0
X27.7Y18.8
X29.0Y70.4
X31.2Y56.8
X31.4Y44.5
X31.9Y67.2
X32.8Y73.3
X34.4Y10.3
X34.5Y14.8
X35.2Y72.2
X35.5Y26.4
X35.5Y19.0
X35.7Y32.9
X35.7Y5.6
X37.8Y41.5
X39.9Y9.7
X40.2Y19.1
X40.4Y5.6
X42.9Y0.8
X43.6Y41.3
X43.9Y36.4
X43.9Y31.5
X47.98Y0.8
X48.1Y75.6
X48.8Y66.0
X50.1Y17.2
X52.0Y62.8
X53.0Y69.5
X53.06Y0.8
X53.1Y75.6
X54.9Y50.1
X55.7Y75.6
X56.5Y30.3
X56.5Y9.2
X56.6Y55.5
X56.7Y19.1
X58.14Y0.8
X58.7Y13.2
X62.2Y65.3
X62.2Y55.6
X62.2Y50.3
X62.2Y45.3
X62.2Y41.1
X62.2Y34.0
X62.2Y27.1
X62.2Y19.1
X63.2Y6.3
X63.22Y0.8
X63.3Y75.6
X66.6Y31.6
X66.6Y29.0
X66.6Y26.5
X66.6Y23.5
X67.4Y75.6
X68.3Y0.8
X70.9Y75.6
X71.2Y65.2
X71.2Y59.4
X71.2Y50.9
X71.3Y46.3
X71.3Y38.8
X71.3Y32.6
X71.3Y27.4
X71.3Y22.1
X71.6Y12.0
X73.38Y0.8
X73.6Y75.6
X74.4Y73.5
X78.46Y0.8
X78.9Y73.5
X80.7Y14.2
X81.1Y75.6
X83.5Y6.8
X83.54Y0.8
X83.6Y75.6
X86.0Y43.1
X86.0Y37.5
X86.0Y31.4
X86.2Y75.6
X86.85Y14.2
X87.1Y23.0
X88.62Y0.8
X88.7Y49.4
X88.8Y6.8
X90.05Y23.0
X90.1Y59.2
X91.8Y75.6
X92.9Y23.0
X93.4Y55.1
X95.3Y73.6
X95.8Y25.6
X95.8Y18.0
X95.9Y59.4
X96.2Y43.1
X96.2Y37.5
X97.7Y71.7
X101.4Y26.6
X101.4Y24.1
X101.4Y21.5
X103.7Y69.1
X103.7Y64.1
X103.7Y59.1
X103.7Y54.1
X103.7Y49.1
X103.7Y44.1
X103.7Y39.1
X103.7Y34.1
X103.7Y29.1
T3
X11.2Y69.8
X12.7Y68.2
X13.1Y67.3
X21.1Y69.5
X21.7Y72.8
X23.1Y41.6
X24.701Y72.305
X25.75Y72.252
X26.2Y67.3
X30.6Y72.4
X30.7Y67.3
X31.7Y72.4
X36.435Y49.27
X38.027Y66.715
X39.2Y61.6
X41.5Y76.0
X41.5Y70.62
X41.5Y65.3
X43.4Y53.9
X43.6Y49.1
X44.0Y24.8
X46.5Y62.8
X48.3Y24.6
X48.7Y72.0
X67.6Y73.0
X67.6Y70.5
X67.6Y54.9
X69.0Y27.5
X69.0Y24.5
X69.25Y77.386
X69.25Y53.915
X69.25Y51.389
X69.47Y70.538
X69.56Y73.066
X70.0Y55.105
X70.0Y31.5
X70.0Y29.0
X75.1Y41.7
X75.4Y56.8
X79.0Y48.3
X79.4Y33.9
X80.1Y28.8
X80.2Y31.4
X80.2Y26.3
X80.2Y23.8
X80.6Y72.0
X80.7Y50.9
X82.5Y60.4
X82.6Y43.1
X83.5Y68.6
X84.7Y49.1
X87.15Y21.8
X88.5Y54.5
X88.669Y56.69
X96.5Y4.4
X96.9Y79.4
X96.9Y78.5
X96.9Y70.7
X97.1Y5.3
T4
X96.9Y31.78
X96.9Y29.28
X96.9Y26.78
X96.9Y24.28
X96.9Y21.78
X96.9Y19.28
X96.9Y16.78
X96.9Y14.28
X99.9Y31.78
X99.9Y29.28
X99.9Y26.78
X99.9Y24.28
X99.9Y21.78
X99.9Y19.28
X99.9Y16.78
X99.9Y14.28
T5
X1.69Y29.26
X1.96Y70.0
X1.96Y67.46
X1.96Y64.92
X1.96Y62.38
X1.96Y59.84
X1.96Y57.3
X1.96Y47.14
X1.96Y44.6
X1.96Y42.06
X1.96Y39.52
X1.96Y36.98
X1.96Y34.44
X1.96Y24.28
X1.96Y21.74
X1.96Y19.2
X1.96Y16.66
X1.96Y14.12
X1.96Y11.58
X4.23Y29.26
X4.5Y70.0
X4.5Y67.46
X4.5Y64.92
X4.5Y62.38
X4.5Y59.84
X4.5Y57.3
X4.5Y47.14
X4.5Y44.6
X4.5Y42.06
X4.5Y39.52
X4.5Y36.98
X4.5Y34.44
X4.5Y24.28
X4.5Y21.74
X4.5Y19.2
X4.5Y16.66
X4.5Y14.12
X4.5Y11.58
X11.19Y3.0
X13.73Y3.0
X16.27Y3.0
X18.81Y3.0
X21.345Y5.545
X21.345Y3.005
X23.885Y5.545
X23.885Y3.005
X26.425Y5.545
X26.425Y3.005
X28.965Y5.545
X28.965Y3.005
X31.505Y5.545
X31.505Y3.005
X34.045Y5.545
X34.045Y3.005
X36.58Y79.0
X36.62Y3.0
X39.12Y79.0
X39.16Y3.0
X41.66Y79.0
X41.7Y3.0
X44.2Y79.0
X44.205Y5.545
X44.205Y3.005
X46.74Y79.0
X46.745Y5.545
X46.745Y3.005
X49.28Y79.0
X49.285Y5.545
X49.285Y3.005
X51.82Y79.0
X51.825Y5.545
X51.825Y3.005
X54.36Y79.0
X54.365Y5.545
X54.365Y3.005
X56.9Y79.0
X56.905Y5.545
X56.905Y3.005
X59.44Y79.0
X59.465Y3.0
X61.98Y79.0
X62.005Y3.0
X64.52Y79.0
X64.545Y3.0
X67.06Y79.0
X67.065Y5.545
X67.065Y3.005
X69.6Y79.0
X69.605Y5.545
X69.605Y3.005
X72.14Y79.0
X72.145Y5.545
X72.145Y3.005
X74.68Y79.0
X74.685Y5.545
X74.685Y3.005
X77.22Y79.0
X77.225Y5.545
X77.225Y3.005
X79.76Y79.0
X79.765Y5.545
X79.765Y3.005
X82.3Y79.0
X82.3Y3.0
X84.84Y79.0
X84.84Y3.0
X87.38Y79.0
X87.38Y3.0
X89.92Y79.0
X89.92Y3.0
X92.46Y79.0
X92.46Y3.0
X95.0Y79.0
X95.0Y3.0
T6
X3.75Y77.25
X3.75Y3.75
X100.75Y77.25
X100.75Y3.75
T4
G00X22.63Y78.4
M15
G01X22.63Y79.6
M16
G05
G00X22.63Y74.6
M15
G01X22.63Y75.4
M16
G05
G00X33.87Y78.4
M15
G01X33.87Y79.6
M16
G05
G00X33.87Y74.6
M15
G01X33.87Y75.4
M16
G05
T0
M30

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,209 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "7.0.9-7.0.9~ubuntu22.04.1"
},
"CreationDate": "2023-12-15T15:20:45-05:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "tinytapeout-demo",
"GUID": "74696e79-7461-4706-956f-75742d64656d",
"Revision": "0.9.4"
},
"Size": {
"X": 104.6,
"Y": 81.1
},
"LayerNumber": 4,
"BoardThickness": 1.5625,
"Finish": "None",
"ImpedanceControlled": true
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.18,
"PadToTrack": 0.18,
"TrackToTrack": 0.2,
"MinLineWidth": 0.16,
"TrackToRegion": 0.25,
"RegionToRegion": 0.25
},
{
"Layers": "Inner",
"PadToPad": 0.18,
"PadToTrack": 0.18,
"TrackToTrack": 0.2,
"MinLineWidth": 0.23,
"TrackToRegion": 0.25,
"RegionToRegion": 0.25
}
],
"FilesAttributes": [
{
"Path": "tinytapeout-demo-F_Cu.gbr",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-In1_Cu.gbr",
"FileFunction": "Copper,L2,Inr",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-In2_Cu.gbr",
"FileFunction": "Copper,L3,Inr",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-B_Cu.gbr",
"FileFunction": "Copper,L4,Bot",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-F_Paste.gbr",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-B_Paste.gbr",
"FileFunction": "SolderPaste,Bot",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-F_Silkscreen.gbr",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-B_Silkscreen.gbr",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-F_Mask.gbr",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "tinytapeout-demo-B_Mask.gbr",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "tinytapeout-demo-Edge_Cuts.gbr",
"FileFunction": "Profile",
"FilePolarity": "Positive"
},
{
"Path": "tinytapeout-demo-User_2.gbr",
"FileFunction": "Other,User",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Color": "White",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Color": "Green",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Color": "R109G116B75",
"Thickness": 0.0681,
"Material": "R-1551(W)",
"DielectricConstant": "4.3",
"LossTangent": "0.02",
"Name": "F.Cu/In1.Cu (1/2)",
"Notes": "Type: dielectric layer 1 - 1/2 (from F.Cu to In1.Cu)"
},
{
"Type": "Dielectric",
"Color": "R109G116B75",
"Thickness": 0.0681,
"Material": "R-1551(W)",
"DielectricConstant": "4.3",
"LossTangent": "0.02",
"Name": "F.Cu/In1.Cu (2/2)",
"Notes": "Type: dielectric layer 1 - 2/2 (from F.Cu to In1.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "In1.Cu"
},
{
"Type": "Dielectric",
"Color": "R109G116B75",
"Thickness": 1.13,
"Material": "R-1566(W)",
"DielectricConstant": "4.6",
"LossTangent": "0.02",
"Name": "In1.Cu/In2.Cu",
"Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "In2.Cu"
},
{
"Type": "Dielectric",
"Color": "R109G116B75",
"Thickness": 0.0681,
"Material": "R-1551(W)",
"DielectricConstant": "4.3",
"LossTangent": "0.02",
"Name": "In2.Cu/B.Cu (1/2)",
"Notes": "Type: dielectric layer 3 - 1/2 (from In2.Cu to B.Cu)"
},
{
"Type": "Dielectric",
"Color": "R109G116B75",
"Thickness": 0.0681,
"Material": "R-1551(W)",
"DielectricConstant": "4.3",
"LossTangent": "0.02",
"Name": "In2.Cu/B.Cu (2/2)",
"Notes": "Type: dielectric layer 3 - 2/2 (from In2.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Color": "Green",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Color": "White",
"Name": "Bottom Silk Screen"
}
]
}

View File

@ -0,0 +1,142 @@
### Footprint positions - created on Thu 04 Jan 2024 01:55:40 AM ###
### Printed by KiCad version 7.0.9-7.0.9~ubuntu22.04.1
## Unit = mm, Angle = deg.
## Side : All
# Ref Val Package PosX PosY Rot Side
J11 Conn_01x06 PinHeader_1x06_P2.54mm_Vertical_SMD_Pin1Right 16.6000 29.1200 90.0000 bottom
C1 1uF C_0603_1608Metric 44.0000 13.9000 90.0000 top
C2 100nF C_0402_1005Metric 63.5000 14.9000 0.0000 top
C3 6800pF C_0603_1608Metric 35.7000 75.7000 90.0000 top
C4 100nF C_0402_1005Metric 17.0200 6.6450 90.0000 top
C5 1uF C_0603_1608Metric 18.4000 6.9000 -90.0000 top
C6 10uF C_0805_2012Metric 15.2400 67.2900 180.0000 top
C7 10uF C_0805_2012Metric 19.8500 79.7000 180.0000 top
C8 100nF C_0402_1005Metric 48.0750 11.1000 0.0000 top
C9 1uF C_0603_1608Metric 48.3750 9.9000 180.0000 top
C10 22uF C_0805_2012Metric 15.9500 78.5400 0.0000 top
C11 1uF C_0603_1608Metric 20.1500 73.6000 180.0000 top
C12 100nF C_0402_1005Metric 15.5000 80.2000 0.0000 top
C13 100nF C_0402_1005Metric 20.6000 72.1000 180.0000 top
C14 100nF C_0402_1005Metric 70.1000 10.2000 0.0000 top
C15 1uF C_0603_1608Metric 70.4500 8.9000 180.0000 top
C16 10uF C_0603_1608Metric 66.1750 13.2600 0.0000 top
C17 1uF C_0603_1608Metric 66.1750 14.7600 0.0000 top
C18 100nF C_0402_1005Metric 68.6750 26.5000 180.0000 top
C19 100nF C_0402_1005Metric 68.6750 69.5000 0.0000 top
C20 100nF C_0402_1005Metric 68.6750 55.5000 0.0000 top
C21 10uF C_0603_1608Metric 94.0000 74.5000 180.0000 top
C22 100nF C_0402_1005Metric 68.6750 31.5400 0.0000 top
C23 100nF C_0402_1005Metric 68.6750 72.0000 0.0000 top
C24 100nF C_0402_1005Metric 68.6750 29.0000 0.0000 top
C25 1uF C_0603_1608Metric 94.0000 76.0400 180.0000 top
C26 100nF C_0402_1005Metric 68.6750 23.5000 0.0000 top
C27 100nF C_0402_1005Metric 11.6000 25.4000 0.0000 top
C28 100nF C_0402_1005Metric 87.2000 65.8000 180.0000 top
C29 6pF C_0402_1005Metric 22.4000 11.3500 90.0000 top
C30 6pF C_0402_1005Metric 27.7000 12.1500 -90.0000 top
C31 100nF C_0402_1005Metric 21.5500 33.4000 0.0000 top
C32 1uF C_0603_1608Metric 28.2000 26.9250 -90.0000 top
C33 100nF C_0402_1005Metric 31.1000 26.4000 90.0000 top
C34 100nF C_0402_1005Metric 32.0500 23.9000 0.0000 top
C35 100nF C_0402_1005Metric 32.6000 19.2000 0.0000 top
C36 100nF C_0402_1005Metric 27.4000 14.2500 0.0000 top
C37 1uF C_0603_1608Metric 29.7000 26.9000 90.0000 top
C38 100nF C_0402_1005Metric 19.7000 19.3000 180.0000 top
C39 100nF C_0402_1005Metric 20.8000 24.1000 180.0000 top
C40 100nF C_0402_1005Metric 27.6500 15.2000 0.0000 top
C41 100nF C_0402_1005Metric 25.8000 26.5500 90.0000 top
C42 1uF C_0603_1608Metric 87.1000 71.2000 90.0000 top
C43 100nF C_0402_1005Metric 94.8000 48.8000 180.0000 top
C56 100nF C_0402_1005Metric 8.7000 23.4000 -90.0000 top
C57 1uF C_0603_1608Metric 7.2000 23.1000 90.0000 top
C58 100nF C_0402_1005Metric 8.5000 69.4000 -90.0000 top
C59 1uF C_0603_1608Metric 7.2000 69.1000 90.0000 top
C60 100nF C_0402_1005Metric 8.4000 46.5500 -90.0000 top
C61 1uF C_0603_1608Metric 7.1500 46.2000 90.0000 top
D1 Yellow LED_0603_1608Metric 100.8000 71.0000 90.0000 top
D2 Yellow LED_0603_1608Metric 95.8000 71.0000 90.0000 top
D3 Yellow LED_0603_1608Metric 91.7000 71.0000 90.0000 top
D4 Yellow LED_0603_1608Metric 92.5000 63.5000 0.0000 top
F1 350mA Fuse_0805_2012Metric 18.6900 67.3025 180.0000 top
FID1 Fiducial Fiducial_1mm_Mask2mm 1.5000 8.5000 0.0000 top
FID2 Fiducial Fiducial_1mm_Mask2mm 8.7000 78.5000 0.0000 top
FID3 Fiducial Fiducial_1mm_Mask2mm 103.0000 72.5000 0.0000 top
J1 Conn_01x06 PinHeader_1x06_P2.54mm_Vertical 95.0000 3.0000 -90.0000 top
J2 Conn_01x04 PinHeader_1x04_P2.54mm_Vertical 18.8100 3.0000 -90.0000 top
J3 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 21.3450 5.5450 90.0000 top
J4 TT04_BREAKOUT_REVB TT04_BREAKOUT_SMB 61.9200 47.9000 0.0000 top
J5 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 44.2050 5.5450 90.0000 top
J6 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 67.0650 5.5450 90.0000 top
J7 Conn_01x02 PinHeader_1x02_P2.54mm_Vertical_SMD_Pin1Right 61.9200 22.9000 -90.0000 top
J8 Conn_01x03 PinHeader_1x03_P2.54mm_Vertical 64.5450 3.0000 -90.0000 top
J9 Conn_01x24 PinHeader_1x24_P2.54mm_Vertical 95.0000 79.0000 -90.0000 top
J10 Conn_01x02 PinHeader_1x02_P2.54mm_Vertical 1.6900 29.2600 90.0000 top
J12 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 4.5000 24.2800 0.0000 top
J13 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 4.5000 47.1400 0.0000 top
J14 613012243121 PinSocket_2x06_P2.54mm_PMODHost1A 4.5000 70.0000 0.0000 top
J15 USB4500-03-0-A_REVA GCT_USB4500-03-0-A_REVA 28.2500 79.0000 180.0000 top
J16 2x20_P2.54mm_Pinheader TT_BREAKOUT_PINHEADER_PLACEHOLDER_WITHPAD 43.9200 47.9000 0.0000 top
J17 Conn_01x03 PinHeader_1x03_P2.54mm_Vertical 41.7000 3.0000 -90.0000 top
J18 2x20_P2.54mm_Pinheader TT_BREAKOUT_PINHEADER_PLACEHOLDER_WITHPAD 79.9200 47.9000 0.0000 top
R1 1k R_0402_1005Metric 14.9000 42.1000 0.0000 top
R2 1k R_0402_1005Metric 14.9000 44.6429 0.0000 top
R3 1k R_0402_1005Metric 14.9000 47.1857 0.0000 top
R4 1k R_0402_1005Metric 14.9000 49.7286 0.0000 top
R5 1k R_0402_1005Metric 14.9000 52.2714 0.0000 top
R6 1k R_0402_1005Metric 14.9000 54.8143 0.0000 top
R7 47k R_0603_1608Metric 41.5000 14.7500 180.0000 top
R8 10k R_0402_1005Metric 61.1000 13.4000 90.0000 top
R9 10k R_0402_1005Metric 7.5000 49.5000 0.0000 top
R10 1k R_0402_1005Metric 14.9000 57.3571 0.0000 top
R11 1k R_0402_1005Metric 14.9000 59.9000 0.0000 top
R12 10k R_0402_1005Metric 41.5000 13.2500 0.0000 top
R13 1k R_0402_1005Metric 61.6000 14.9000 0.0000 top
R14 1k R_0402_1005Metric 1.6000 49.5000 0.0000 top
R15 1.2K R_0402_1005Metric 99.5000 71.3000 90.0000 top
R16 1M R_0603_1608Metric 37.2000 75.7000 90.0000 top
R17 510 R_0402_1005Metric 94.5500 71.3000 90.0000 top
R18 0R R_0402_1005Metric 90.4000 71.3000 90.0000 top
R19 510 R_0402_1005Metric 95.2500 63.5000 -90.0000 top
R20 5.1k R_0402_1005Metric 26.9000 71.2000 -90.0000 top
R21 5.1k R_0402_1005Metric 30.2000 70.4000 -90.0000 top
R22 68R R_0402_1005Metric 95.2550 31.8200 180.0000 top
R23 68R R_0402_1005Metric 95.2550 29.3143 180.0000 top
R24 68R R_0402_1005Metric 95.2550 26.8086 180.0000 top
R25 68R R_0402_1005Metric 95.2550 24.3029 180.0000 top
R26 68R R_0402_1005Metric 95.2550 21.7971 180.0000 top
R27 68R R_0402_1005Metric 95.2550 19.2914 180.0000 top
R28 68R R_0402_1005Metric 95.2550 16.7857 180.0000 top
R29 68R R_0402_1005Metric 95.2550 14.2800 180.0000 top
R30 10k R_0402_1005Metric 37.0000 68.9000 0.0000 top
R31 10k R_0402_1005Metric 37.0000 64.6000 180.0000 top
R32 10k R_0402_1005Metric 37.0000 63.3000 0.0000 top
R33 10k R_0402_1005Metric 10.5000 23.3000 -90.0000 top
R34 10k R_0402_1005Metric 23.9000 29.4500 180.0000 top
R35 10k R_0402_1005Metric 12.2500 29.0350 0.0000 top
R36 10k R_0402_1005Metric 6.6000 28.4000 90.0000 top
R37 1k R_0402_1005Metric 25.9000 14.5000 90.0000 top
R38 10k R_0402_1005Metric 23.8500 31.0500 180.0000 top
R39 10k R_0402_1005Metric 23.9000 27.7000 180.0000 top
R40 10k R_0402_1005Metric 12.2500 30.3350 0.0000 top
R41 47R R_0402_1005Metric 39.2500 14.0375 -90.0000 top
R42 10k R_0402_1005Metric 87.3000 73.2000 0.0000 top
R43 10k R_0402_1005Metric 93.3000 59.5000 180.0000 top
R44 10k R_0402_1005Metric 93.3000 60.6000 180.0000 top
R45 10k R_0402_1005Metric 93.3000 47.6000 180.0000 top
R46 10k R_0402_1005Metric 91.3000 46.6000 180.0000 top
R47 10k R_0402_1005Metric 93.3000 46.6000 180.0000 top
R48 10k R_0402_1005Metric 91.3000 47.6000 180.0000 top
SW1 434121025816 434121025816 41.5000 10.0000 180.0000 top
SW2 434121025816 434121025816 64.0000 10.0000 180.0000 top
SW3 434121025816 434121025816 4.9000 52.9000 0.0000 top
SW4 418121270808 418121270808 22.0000 51.0000 -90.0000 top
U1 157112V12700 WL_S7DS-157112V12700 90.0500 23.0000 90.0000 top
U2 TLV1117LV33 SOT-223-3_TabPin2 14.6500 73.0000 90.0000 top
U3 AP2112K-1.8 SOT-23-5 20.1000 76.5000 -90.0000 top
U4 74CBTLV3257 TSSOP-16_4.4x5mm_P0.65mm 14.0000 21.0000 90.0000 top
U5 W25Q32JVSS SOIC-8_5.23x5.23mm_P1.27mm 18.0000 30.0000 0.0000 top
U6 RP2040 QFN-56-1EP_7x7mm_P0.4mm_EP3.2x3.2mm 26.0000 20.5000 0.0000 top
U7 W25Q32JVSS SOIC-8_5.23x5.23mm_P1.27mm 92.0000 54.0000 -90.0000 top
X1 20MHz Oscillator_SMD_ECS_2520MV-xxx-xx-4Pin_2.5x2.0mm 87.4000 67.9000 180.0000 top
Y1 12.0MHz Crystal_SMD_3225-4Pin_3.2x2.5mm 25.0000 11.7500 0.0000 top
## End

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,4 @@
(sym_lib_table
(version 7)
(lib (name "TinyTapeout")(type "KiCad")(uri "${KIPRJMOD}/tinytapeout-kicad-libs/symbols/TinyTapeout.kicad_sym")(options "")(descr ""))
)

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,826 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"apply_defaults_to_fp_fields": false,
"apply_defaults_to_fp_shapes": false,
"apply_defaults_to_fp_text": false,
"board_outline_line_width": 0.09999999999999999,
"copper_line_width": 0.19999999999999998,
"copper_text_italic": false,
"copper_text_size_h": 1.5,
"copper_text_size_v": 1.5,
"copper_text_thickness": 0.3,
"copper_text_upright": false,
"courtyard_line_width": 0.049999999999999996,
"dimension_precision": 4,
"dimension_units": 3,
"dimensions": {
"arrow_length": 1270000,
"extension_offset": 500000,
"keep_text_aligned": true,
"suppress_zeroes": false,
"text_position": 0,
"units_format": 1
},
"fab_line_width": 0.09999999999999999,
"fab_text_italic": false,
"fab_text_size_h": 1.0,
"fab_text_size_v": 1.0,
"fab_text_thickness": 0.15,
"fab_text_upright": false,
"other_line_width": 0.15,
"other_text_italic": false,
"other_text_size_h": 1.0,
"other_text_size_v": 1.0,
"other_text_thickness": 0.15,
"other_text_upright": false,
"pads": {
"drill": 0.0,
"height": 0.2,
"width": 0.2
},
"silk_line_width": 0.15,
"silk_text_italic": false,
"silk_text_size_h": 1.0,
"silk_text_size_v": 1.0,
"silk_text_thickness": 0.15,
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.25
}
},
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"version": 2
},
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "error",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
"track_width": "error",
"tracks_crossing": "error",
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zones_intersect": "error"
},
"rules": {
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_connection": 0.0,
"min_copper_edge_clearance": 0.3,
"min_hole_clearance": 0.19999999999999998,
"min_hole_to_hole": 0.25,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 1,
"min_silk_clearance": 0.0,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.19999999999999998,
"min_track_width": 0.16,
"min_via_annular_width": 0.15,
"min_via_diameter": 0.3,
"solder_mask_clearance": 0.0,
"solder_mask_min_width": 0.0,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_on_pad_in_zone": false,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.16,
0.18,
0.2,
0.245,
0.25,
0.3,
0.4
],
"tuning_pattern_settings": {
"diff_pair_defaults": {
"corner_radius_percentage": 100,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.1,
"single_sided": false,
"spacing": 0.6
},
"diff_pair_skew_defaults": {
"corner_radius_percentage": 100,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.1,
"single_sided": false,
"spacing": 0.6
},
"single_track_defaults": {
"corner_radius_percentage": 100,
"corner_style": 1,
"max_amplitude": 1.0,
"min_amplitude": 0.1,
"single_sided": false,
"spacing": 0.6
}
},
"via_dimensions": [
{
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.62,
"drill": 0.3
},
{
"diameter": 0.65,
"drill": 0.3
},
{
"diameter": 0.7,
"drill": 0.3
},
{
"diameter": 0.8,
"drill": 0.4
}
],
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"ipc2581": {
"dist": "",
"distpn": "",
"internal_id": "",
"mfg": "",
"mpn": ""
},
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
"equivalence_files": []
},
"erc": {
"erc_exclusions": [],
"meta": {
"version": 0
},
"pin_map": [
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
1,
0,
1,
2
],
[
0,
1,
0,
0,
0,
0,
1,
1,
2,
1,
1,
2
],
[
0,
0,
0,
0,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
0,
2
],
[
1,
1,
1,
1,
1,
0,
1,
1,
1,
1,
1,
2
],
[
0,
0,
0,
1,
0,
0,
1,
0,
0,
0,
0,
2
],
[
0,
2,
1,
2,
0,
0,
1,
0,
2,
2,
2,
2
],
[
0,
2,
0,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
0,
2,
1,
1,
0,
0,
1,
0,
2,
0,
0,
2
],
[
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2,
2
]
],
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "ignore",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
"wire_dangling": "error"
}
},
"libraries": {
"pinned_footprint_libs": [],
"pinned_symbol_libs": []
},
"meta": {
"filename": "tinytapeout-demo.kicad_pro",
"version": 1
},
"net_settings": {
"classes": [
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "Default",
"pcb_color": "rgba(0, 0, 0, 0.000)",
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.2,
"via_diameter": 0.65,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "clk",
"pcb_color": "rgb(255, 19, 179)",
"schematic_color": "rgb(194, 0, 194)",
"track_width": 0.245,
"via_diameter": 0.65,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "config",
"pcb_color": "rgb(255, 152, 67)",
"schematic_color": "rgb(221, 133, 0)",
"track_width": 0.2,
"via_diameter": 0.65,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "iobidir",
"pcb_color": "rgb(77, 224, 255)",
"schematic_color": "rgb(0, 194, 194)",
"track_width": 0.245,
"via_diameter": 0.62,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "ioin",
"pcb_color": "rgb(100, 214, 81)",
"schematic_color": "rgb(0, 194, 0)",
"track_width": 0.245,
"via_diameter": 0.62,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "ioout",
"pcb_color": "rgb(73, 185, 186)",
"schematic_color": "rgb(0, 132, 132)",
"track_width": 0.245,
"via_diameter": 0.62,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.23,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "pwr",
"pcb_color": "rgb(153, 4, 0)",
"schematic_color": "rgb(132, 0, 0)",
"track_width": 0.25,
"via_diameter": 0.65,
"via_drill": 0.3,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.25,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.2,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "pwrhi",
"pcb_color": "rgb(244, 7, 0)",
"schematic_color": "rgb(194, 0, 0)",
"track_width": 0.3,
"via_diameter": 0.8,
"via_drill": 0.4,
"wire_width": 6
},
{
"bus_width": 12,
"clearance": 0.2,
"diff_pair_gap": 0.165,
"diff_pair_via_gap": 0.25,
"diff_pair_width": 0.23,
"line_style": 0,
"microvia_diameter": 0.3,
"microvia_drill": 0.1,
"name": "usbdiff",
"pcb_color": "rgb(255, 172, 20)",
"schematic_color": "rgb(255, 153, 0)",
"track_width": 0.23,
"via_diameter": 0.6,
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 3
},
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": [
{
"netclass": "pwr",
"pattern": "GND"
},
{
"netclass": "pwrhi",
"pattern": "VBUS"
},
{
"netclass": "clk",
"pattern": "osc*"
},
{
"netclass": "clk",
"pattern": "*clk"
},
{
"netclass": "ioin",
"pattern": "in\\d+"
},
{
"netclass": "ioout",
"pattern": ".*out\\d+"
},
{
"netclass": "config",
"pattern": "ctrl_*"
},
{
"netclass": "config",
"pattern": "HK_*"
},
{
"netclass": "pwrhi",
"pattern": "+5V"
},
{
"netclass": "pwr",
"pattern": "+?V?"
},
{
"netclass": "iobidir",
"pattern": "uio\\d+"
},
{
"netclass": "usbdiff",
"pattern": "usb_d*"
},
{
"netclass": "config",
"pattern": "~?ctrl_*"
}
]
},
"pcbnew": {
"last_paths": {
"gencad": "",
"idf": "",
"netlist": "",
"plot": "",
"pos_files": "",
"specctra_dsn": "",
"step": "tinytapeout-demo.step",
"svg": "",
"vrml": ""
},
"page_layout_descr_file": ""
},
"schematic": {
"annotate_start_num": 0,
"bom_fmt_presets": [],
"bom_fmt_settings": {
"field_delimiter": ",",
"keep_line_breaks": false,
"keep_tabs": false,
"name": "CSV",
"ref_delimiter": ",",
"ref_range_delimiter": "",
"string_delimiter": "\""
},
"bom_presets": [],
"bom_settings": {
"exclude_dnp": false,
"fields_ordered": [
{
"group_by": false,
"label": "Reference",
"name": "Reference",
"show": true
},
{
"group_by": true,
"label": "Value",
"name": "Value",
"show": true
},
{
"group_by": false,
"label": "Datasheet",
"name": "Datasheet",
"show": true
},
{
"group_by": false,
"label": "Footprint",
"name": "Footprint",
"show": true
},
{
"group_by": false,
"label": "Qty",
"name": "${QUANTITY}",
"show": true
},
{
"group_by": true,
"label": "DNP",
"name": "${DNP}",
"show": true
}
],
"filter_string": "",
"group_symbols": true,
"name": "Grouped By Value",
"sort_asc": true,
"sort_field": "Reference"
},
"connection_grid_size": 50.0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
"intersheets_ref_own_page": false,
"intersheets_ref_prefix": "",
"intersheets_ref_short": false,
"intersheets_ref_show": false,
"intersheets_ref_suffix": "",
"junction_size_choice": 3,
"label_size_ratio": 0.375,
"operating_point_overlay_i_precision": 3,
"operating_point_overlay_i_range": "~A",
"operating_point_overlay_v_precision": 3,
"operating_point_overlay_v_range": "~V",
"overbar_offset_ratio": 1.23,
"pin_symbol_size": 25.0,
"text_offset_ratio": 0.15
},
"legacy_lib_dir": "",
"legacy_lib_list": [],
"meta": {
"version": 1
},
"net_format_name": "",
"ngspice": {
"fix_include_paths": true,
"fix_passive_vals": false,
"meta": {
"version": 0
},
"model_mode": 0,
"workbook_filename": ""
},
"page_layout_descr_file": "",
"plot_directory": "/tmp",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_dissipations": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},
"sheets": [
[
"20adca1d-43a1-4784-9682-8b7dd1c7d330",
"Root"
],
[
"5384e408-8f0c-411c-b558-df35c2e889ec",
"RP2040"
]
],
"text_variables": {}
}

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,37 @@
(module Crystal_SMD_HC49-US (layer F.Cu) (tedit 5F0C7995)
(descr "SMD Crystal HC-49-SD http://cdn-reichelt.de/documents/datenblatt/B400/xxx-HC49-SMD.pdf, 11.4x4.7mm^2 package")
(tags "SMD SMT crystal")
(attr smd)
(fp_text reference Y1 (at 0 -3.55) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ABLS-12.000MHZ-B4-T (at 0 3.55) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -6.7 1.3) (end -6.7 2.55) (layer F.SilkS) (width 0.12))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start -5.7 -2.35) (end -5.7 2.35) (layer F.Fab) (width 0.1))
(fp_line (start -5.7 2.35) (end 5.7 2.35) (layer F.Fab) (width 0.1))
(fp_line (start 5.7 2.35) (end 5.7 -2.35) (layer F.Fab) (width 0.1))
(fp_line (start 5.7 -2.35) (end -5.7 -2.35) (layer F.Fab) (width 0.1))
(fp_line (start -3.015 -2.115) (end 3.015 -2.115) (layer F.Fab) (width 0.1))
(fp_line (start -3.015 2.115) (end 3.015 2.115) (layer F.Fab) (width 0.1))
(fp_line (start 5.9 -2.55) (end -6.7 -2.55) (layer F.SilkS) (width 0.12))
(fp_line (start -6.7 -2.55) (end -6.7 -1.3) (layer F.SilkS) (width 0.12))
(fp_line (start -6.7 2.55) (end 5.9 2.55) (layer F.SilkS) (width 0.12))
(fp_line (start -6.8 -2.6) (end -6.8 2.6) (layer F.CrtYd) (width 0.05))
(fp_line (start -6.8 2.6) (end 6.8 2.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 6.8 2.6) (end 6.8 -2.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 6.8 -2.6) (end -6.8 -2.6) (layer F.CrtYd) (width 0.05))
(fp_arc (start -3.015 0) (end -3.015 -2.115) (angle -180) (layer F.Fab) (width 0.1))
(fp_arc (start 3.015 0) (end 3.015 -2.115) (angle 180) (layer F.Fab) (width 0.1))
(pad 1 smd rect (at -4.5 0) (size 5.6 2.1) (layers F.Cu F.Paste F.Mask))
(pad 2 smd rect (at 4.5 0) (size 5.6 2.1) (layers F.Cu F.Paste F.Mask))
(model ${KISYS3DMOD}/Crystal.3dshapes/Crystal_SMD_HC49-SD.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,105 @@
(module RP2040-QFN-56 (layer F.Cu) (tedit 5EF32B43)
(descr "QFN, 56 Pin (http://www.cypress.com/file/416486/download#page=40), generated with kicad-footprint-generator ipc_dfn_qfn_generator.py")
(tags "QFN DFN_QFN")
(attr smd)
(fp_text reference REF** (at 0 -4.82) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value Pico2040-QFN-56 (at 0 4.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_line (start 4.12 -4.12) (end -4.12 -4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start 4.12 4.12) (end 4.12 -4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.12 4.12) (end 4.12 4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start -4.12 -4.12) (end -4.12 4.12) (layer F.CrtYd) (width 0.05))
(fp_line (start -3.5 -2.5) (end -2.5 -3.5) (layer F.Fab) (width 0.1))
(fp_line (start -3.5 3.5) (end -3.5 -2.5) (layer F.Fab) (width 0.1))
(fp_line (start 3.5 3.5) (end -3.5 3.5) (layer F.Fab) (width 0.1))
(fp_line (start 3.5 -3.5) (end 3.5 3.5) (layer F.Fab) (width 0.1))
(fp_line (start -2.5 -3.5) (end 3.5 -3.5) (layer F.Fab) (width 0.1))
(fp_line (start -2.96 -3.61) (end -3.61 -3.61) (layer F.SilkS) (width 0.12))
(fp_line (start 3.61 3.61) (end 3.61 2.96) (layer F.SilkS) (width 0.12))
(fp_line (start 2.96 3.61) (end 3.61 3.61) (layer F.SilkS) (width 0.12))
(fp_line (start -3.61 3.61) (end -3.61 2.96) (layer F.SilkS) (width 0.12))
(fp_line (start -2.96 3.61) (end -3.61 3.61) (layer F.SilkS) (width 0.12))
(fp_line (start 3.61 -3.61) (end 3.61 -2.96) (layer F.SilkS) (width 0.12))
(fp_line (start 2.96 -3.61) (end 3.61 -3.61) (layer F.SilkS) (width 0.12))
(pad 56 smd roundrect (at -2.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 55 smd roundrect (at -2.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 54 smd roundrect (at -1.8 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 53 smd roundrect (at -1.4 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 52 smd roundrect (at -1 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 51 smd roundrect (at -0.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 50 smd roundrect (at -0.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 49 smd roundrect (at 0.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 48 smd roundrect (at 0.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 47 smd roundrect (at 1 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 46 smd roundrect (at 1.4 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 45 smd roundrect (at 1.8 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 44 smd roundrect (at 2.2 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 43 smd roundrect (at 2.6 -3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 42 smd roundrect (at 3.4375 -2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 41 smd roundrect (at 3.4375 -2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 40 smd roundrect (at 3.4375 -1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 39 smd roundrect (at 3.4375 -1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 38 smd roundrect (at 3.4375 -1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 37 smd roundrect (at 3.4375 -0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 36 smd roundrect (at 3.4375 -0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 35 smd roundrect (at 3.4375 0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 34 smd roundrect (at 3.4375 0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 33 smd roundrect (at 3.4375 1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 32 smd roundrect (at 3.4375 1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 31 smd roundrect (at 3.4375 1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 30 smd roundrect (at 3.4375 2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 29 smd roundrect (at 3.4375 2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 28 smd roundrect (at 2.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 27 smd roundrect (at 2.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 26 smd roundrect (at 1.8 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 25 smd roundrect (at 1.4 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 24 smd roundrect (at 1 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 23 smd roundrect (at 0.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 22 smd roundrect (at 0.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 21 smd roundrect (at -0.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 20 smd roundrect (at -0.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 19 smd roundrect (at -1 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 18 smd roundrect (at -1.4 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 17 smd roundrect (at -1.8 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 16 smd roundrect (at -2.2 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 15 smd roundrect (at -2.6 3.4375) (size 0.2 0.875) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 14 smd roundrect (at -3.4375 2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 13 smd roundrect (at -3.4375 2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 12 smd roundrect (at -3.4375 1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 11 smd roundrect (at -3.4375 1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 10 smd roundrect (at -3.4375 1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 9 smd roundrect (at -3.4375 0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 8 smd roundrect (at -3.4375 0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 7 smd roundrect (at -3.4375 -0.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 6 smd roundrect (at -3.4375 -0.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 5 smd roundrect (at -3.4375 -1) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 4 smd roundrect (at -3.4375 -1.4) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 3 smd roundrect (at -3.4375 -1.8) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 2 smd roundrect (at -3.4375 -2.2) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad 1 smd roundrect (at -3.4375 -2.6) (size 0.875 0.2) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25))
(pad "" smd roundrect (at 0.6375 0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535))
(pad "" smd roundrect (at 0.6375 -0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535))
(pad "" smd roundrect (at -0.6375 0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535))
(pad "" smd roundrect (at -0.6375 -0.6375) (size 1.084435 1.084435) (layers F.Paste) (roundrect_rratio 0.230535))
(pad 57 thru_hole circle (at 1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at 0 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at -1.275 1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at 1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at 0 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at -1.275 0) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at 1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at 0 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 thru_hole circle (at -1.275 -1.275) (size 0.6 0.6) (drill 0.35) (layers *.Cu))
(pad 57 smd roundrect (at 0 0) (size 3.2 3.2) (layers F.Cu F.Mask) (roundrect_rratio 0.045))
(model ${KISYS3DMOD}/Package_DFN_QFN.3dshapes/QFN-56-1EP_7x7mm_P0.4mm_EP5.6x5.6mm.wrl
(at (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,319 @@
(footprint "RPi_Pico_SMD" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 6224DF39)
(descr "Through hole straight pin header, 2x20, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x20 2.54mm double row")
(attr through_hole)
(fp_text reference "REF**" (at 0 0) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 96315415-cfed-47d2-b3dd-d782358bd0df)
)
(fp_text value "RPi_Pico_SMD" (at 0 2.159) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 46cbe85d-ff47-428e-b187-4ebd50a66e0c)
)
(fp_text user "GP11" (at -13.2 11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 000b46d6-b833-4804-8f56-56d539f76d09)
)
(fp_text user "AGND" (at 13.054 -6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 08ec951f-e7eb-41cf-9589-697107a98e88)
)
(fp_text user "GND" (at 12.8 19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 09bbea88-8bd7-48ec-baae-1b4a9a11a40e)
)
(fp_text user "VBUS" (at 13.3 -24.2 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 0f0f7bb5-ade7-4a81-82b4-43be6a8ad05c)
)
(fp_text user "GND" (at 12.8 -19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 0fb27e11-fde6-4a25-adbb-e9684771b369)
)
(fp_text user "GP13" (at -13.054 16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 113ffcdf-4c54-4e37-81dc-f91efa934ba7)
)
(fp_text user "ADC_VREF" (at 14 -12.5 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 162e5bdd-61a8-46a3-8485-826b5d58e1a1)
)
(fp_text user "GP4" (at -12.8 -11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 1cacb878-9da4-41fc-aa80-018bc841e19a)
)
(fp_text user "GP7" (at -12.7 -1.3 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 1de61170-5337-44c5-ba28-bd477db4bff1)
)
(fp_text user "GP15" (at -13.054 24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2102c637-9f11-48f1-aae6-b4139dc22be2)
)
(fp_text user "GP17" (at 13.054 21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 272c2a78-b5f5-4b61-aed3-ec69e0e92729)
)
(fp_text user "GP26" (at 13.054 -1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2b25e886-ded1-450a-ada1-ece4208052e4)
)
(fp_text user "SWCLK" (at -5.7 26.2) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2eea20e6-112c-411a-b615-885ae773135a)
)
(fp_text user "3V3_EN" (at 13.7 -17.2 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2f3fba7a-cf45-4bd8-9035-07e6fa0b4732)
)
(fp_text user "3V3" (at 12.9 -13.9 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 319c683d-aed6-4e7d-aee2-ff9871746d52)
)
(fp_text user "GP8" (at -12.8 1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 3a1a39fc-8030-4c93-9d9c-d79ba6824099)
)
(fp_text user "GP16" (at 13.054 24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 3f2a6679-91d7-4b6c-bf5c-c4d5abb2bc44)
)
(fp_text user "GND" (at 12.8 6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 41c18011-40db-4384-9ba4-c0158d0d9d6a)
)
(fp_text user "GND" (at -12.8 -6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 4346fe55-f906-453a-b81a-1c013104a598)
)
(fp_text user "GP28" (at 13.054 -9.144 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 456c5e47-d71e-4708-b061-1e61634d8648)
)
(fp_text user "GP9" (at -12.8 3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 49b5f540-e128-4e08-bb09-f321f8e64056)
)
(fp_text user "SWDIO" (at 5.6 26.2) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 49fec31e-3712-4229-8142-b191d90a97d0)
)
(fp_text user "GP5" (at -12.8 -8.89 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 4ce9470f-5633-41bf-89ac-74a810939893)
)
(fp_text user "GP0" (at -12.8 -24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 51cc007a-3378-4ce3-909c-71e94822f8d1)
)
(fp_text user "GP3" (at -12.8 -13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 5576cd03-3bad-40c5-9316-1d286895d52a)
)
(fp_text user "GND" (at -12.8 19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 56d2bc5d-fd72-4542-ab0f-053a5fd60efa)
)
(fp_text user "GND" (at -12.8 -19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 5e6153e6-2c19-46de-9a8e-b310a2a07861)
)
(fp_text user "GP20" (at 13.054 11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 62f15a9a-9893-486e-9ad0-ea43f88fc9e7)
)
(fp_text user "GP19" (at 13.054 13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 7273dd21-e834-41d3-b279-d7de727709ca)
)
(fp_text user "GP2" (at -12.9 -16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 96ef76a5-90c3-4767-98ba-2b61887e28d3)
)
(fp_text user "GP18" (at 13.054 16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp a3fab380-991d-404b-95d5-1c209b047b6e)
)
(fp_text user "GP6" (at -12.8 -3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp aa23bfe3-454b-4a2b-bfe1-101c747eb84e)
)
(fp_text user "GP21" (at 13.054 8.9 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp b2b363dd-8e47-4a76-a142-e00e28334875)
)
(fp_text user "GP22" (at 13.054 3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c15b2f75-2e10-4b71-bebb-e2b872171b92)
)
(fp_text user "GND" (at -12.8 6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c512fed3-9770-476b-b048-e781b4f3cd72)
)
(fp_text user "GP14" (at -13.1 21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c7cd39db-931a-4d86-96b8-57e6b39f58f9)
)
(fp_text user "VSYS" (at 13.2 -21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp cb1a49ef-0a06-4f40-9008-61d1d1c36198)
)
(fp_text user "GP12" (at -13.2 13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp ceb12634-32ca-4cbf-9ff5-5e8b53ab18ad)
)
(fp_text user "GP1" (at -12.9 -21.6 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp db6412d3-e6c3-4bdd-abf4-a8f55d56df31)
)
(fp_text user "GP10" (at -13.054 8.89 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp dd70858b-2f9a-4b3f-9af5-ead3a9ba57e9)
)
(fp_text user "RUN" (at 13 1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp f6a5c856-f2b5-40eb-a958-b666a0d408a0)
)
(fp_text user "GP27" (at 13.054 -3.8 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp ffa442c7-cbef-461f-8613-c211201cec06)
)
(fp_text user "Copper Keepouts shown on Dwgs layer" (at 0.1 -30.2) (layer "Cmts.User")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 66ca01b3-51ff-4294-9b77-4492e98f6aec)
)
(fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 83184391-76ed-44f0-8cd0-01f89f157bdb)
)
(fp_line (start 10.5 4.9) (end 10.5 5.3) (layer "F.SilkS") (width 0.12) (tstamp 099473f1-6598-46ff-a50f-4c520832170d))
(fp_line (start -10.5 -23.1) (end -10.5 -22.7) (layer "F.SilkS") (width 0.12) (tstamp 0c5dddf1-38df-43d2-b49c-e7b691dab0ab))
(fp_line (start -10.5 -20.5) (end -10.5 -20.1) (layer "F.SilkS") (width 0.12) (tstamp 0ce1dd44-f307-4f98-9f0d-478fd87daa64))
(fp_line (start 10.5 10) (end 10.5 10.4) (layer "F.SilkS") (width 0.12) (tstamp 15699041-ed40-45ee-87d8-f5e206a88536))
(fp_line (start -3.7 25.5) (end -10.5 25.5) (layer "F.SilkS") (width 0.12) (tstamp 1855ca44-ab48-4b76-a210-97fc81d916c4))
(fp_line (start 10.5 -0.2) (end 10.5 0.2) (layer "F.SilkS") (width 0.12) (tstamp 1876c30c-72b2-4a8d-9f32-bf8b213530b4))
(fp_line (start 10.5 22.7) (end 10.5 23.1) (layer "F.SilkS") (width 0.12) (tstamp 199124ca-dd64-45cf-a063-97cc545cbea7))
(fp_line (start 10.5 -23.1) (end 10.5 -22.7) (layer "F.SilkS") (width 0.12) (tstamp 1bd80cf9-f42a-4aee-a408-9dbf4e81e625))
(fp_line (start -7.493 -22.833) (end -7.493 -25.5) (layer "F.SilkS") (width 0.12) (tstamp 254f7cc6-cee1-44ca-9afe-939b318201aa))
(fp_line (start 10.5 -5.3) (end 10.5 -4.9) (layer "F.SilkS") (width 0.12) (tstamp 26a22c19-4cc5-4237-9651-0edc4f854154))
(fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer "F.SilkS") (width 0.12) (tstamp 3457afc5-3e4f-4220-81d1-b079f653a722))
(fp_line (start -10.5 20.1) (end -10.5 20.5) (layer "F.SilkS") (width 0.12) (tstamp 3b65c51e-c243-447e-bee9-832d94c1630e))
(fp_line (start -10.5 -2.7) (end -10.5 -2.3) (layer "F.SilkS") (width 0.12) (tstamp 3bbbbb7d-391c-4fee-ac81-3c47878edc38))
(fp_line (start -10.5 22.7) (end -10.5 23.1) (layer "F.SilkS") (width 0.12) (tstamp 402c62e6-8d8e-473a-a0cf-2b86e4908cd7))
(fp_line (start -10.5 -15.4) (end -10.5 -15) (layer "F.SilkS") (width 0.12) (tstamp 4970ec6e-3725-4619-b57d-dc2c2cb86ed0))
(fp_line (start -10.5 -5.3) (end -10.5 -4.9) (layer "F.SilkS") (width 0.12) (tstamp 4a53fa56-d65b-42a4-a4be-8f49c4c015bb))
(fp_line (start 10.5 -2.7) (end 10.5 -2.3) (layer "F.SilkS") (width 0.12) (tstamp 4bbde53d-6894-4e18-9480-84a6a26d5f6b))
(fp_line (start 10.5 25.5) (end 3.7 25.5) (layer "F.SilkS") (width 0.12) (tstamp 54ed3ee1-891b-418e-ab9c-6a18747d7388))
(fp_line (start 10.5 -15.4) (end 10.5 -15) (layer "F.SilkS") (width 0.12) (tstamp 57f248a7-365e-4c42-b80d-5a7d1f9dfaf3))
(fp_line (start -10.5 7.4) (end -10.5 7.8) (layer "F.SilkS") (width 0.12) (tstamp 5bab6a37-1fdf-4cf8-b571-44c962ed86e9))
(fp_line (start -10.5 -22.833) (end -7.493 -22.833) (layer "F.SilkS") (width 0.12) (tstamp 5f48b0f2-82cf-40ce-afac-440f97643c36))
(fp_line (start -10.5 -7.8) (end -10.5 -7.4) (layer "F.SilkS") (width 0.12) (tstamp 6150c02b-beb5-4af1-951e-3666a285a6ea))
(fp_line (start -10.5 4.9) (end -10.5 5.3) (layer "F.SilkS") (width 0.12) (tstamp 706c1cb9-5d96-4282-9efc-6147f0125147))
(fp_line (start -1.5 25.5) (end -1.1 25.5) (layer "F.SilkS") (width 0.12) (tstamp 749d9ed0-2ff2-4b55-abc5-f7231ec3aa28))
(fp_line (start -10.5 -12.9) (end -10.5 -12.5) (layer "F.SilkS") (width 0.12) (tstamp 755f94aa-38f0-4a64-a7c7-6c71cb18cddf))
(fp_line (start 10.5 -20.5) (end 10.5 -20.1) (layer "F.SilkS") (width 0.12) (tstamp 80095e91-6317-4cfb-9aea-884c9a1accc5))
(fp_line (start -10.5 15.1) (end -10.5 15.5) (layer "F.SilkS") (width 0.12) (tstamp 88deea08-baa5-4041-beb7-01c299cf00e6))
(fp_line (start 1.1 25.5) (end 1.5 25.5) (layer "F.SilkS") (width 0.12) (tstamp 8a8c373f-9bc3-4cf7-8f41-4802da916698))
(fp_line (start 10.5 -12.9) (end 10.5 -12.5) (layer "F.SilkS") (width 0.12) (tstamp 9112ddd5-10d5-48b8-954f-f1d5adcacbd9))
(fp_line (start -10.5 10) (end -10.5 10.4) (layer "F.SilkS") (width 0.12) (tstamp 92f063a3-7cce-4a96-8a3a-cf5767f700c6))
(fp_line (start 10.5 2.3) (end 10.5 2.7) (layer "F.SilkS") (width 0.12) (tstamp 968a6172-7a4e-40ab-a78a-e4d03671e136))
(fp_line (start -10.5 -10.4) (end -10.5 -10) (layer "F.SilkS") (width 0.12) (tstamp 9c2999b2-1cf1-4204-9d23-243401b77aa3))
(fp_line (start -10.5 -0.2) (end -10.5 0.2) (layer "F.SilkS") (width 0.12) (tstamp 9ed09117-33cf-45a3-85a7-2606522feaf8))
(fp_line (start -10.5 17.6) (end -10.5 18) (layer "F.SilkS") (width 0.12) (tstamp a177c3b4-b04c-490e-b3fe-d3d4d7aa24a7))
(fp_line (start -10.5 12.5) (end -10.5 12.9) (layer "F.SilkS") (width 0.12) (tstamp ad4d05f5-6957-42f8-b65c-c657b9a26485))
(fp_line (start 10.5 7.4) (end 10.5 7.8) (layer "F.SilkS") (width 0.12) (tstamp af76ce95-feca-41fb-bf31-edaa26d6766a))
(fp_line (start 10.5 -10.4) (end 10.5 -10) (layer "F.SilkS") (width 0.12) (tstamp c1b11207-7c0a-49b3-a41d-2fe677d5f3b8))
(fp_line (start 10.5 17.6) (end 10.5 18) (layer "F.SilkS") (width 0.12) (tstamp c346b00c-b5e0-4939-beb4-7f48172ef334))
(fp_line (start 10.5 -7.8) (end 10.5 -7.4) (layer "F.SilkS") (width 0.12) (tstamp c3d5daf8-d359-42b2-a7c2-0d080ba7e212))
(fp_line (start -10.5 -25.5) (end -10.5 -25.2) (layer "F.SilkS") (width 0.12) (tstamp ca56e1ad-54bf-4df5-a4f7-99f5d61d0de9))
(fp_line (start 10.5 20.1) (end 10.5 20.5) (layer "F.SilkS") (width 0.12) (tstamp ca9b74ce-0dee-401c-9544-f599f4cf538d))
(fp_line (start 10.5 12.5) (end 10.5 12.9) (layer "F.SilkS") (width 0.12) (tstamp d3dd7cdb-b730-487d-804d-99150ba318ef))
(fp_line (start 10.5 -18) (end 10.5 -17.6) (layer "F.SilkS") (width 0.12) (tstamp e11ae5a5-aa10-4f10-b346-f16e33c7899a))
(fp_line (start -10.5 2.3) (end -10.5 2.7) (layer "F.SilkS") (width 0.12) (tstamp eb391a95-1c1d-4613-b508-c76b8bc13a73))
(fp_line (start 10.5 -25.5) (end 10.5 -25.2) (layer "F.SilkS") (width 0.12) (tstamp f23ac723-a36d-491d-9473-7ec0ffed332d))
(fp_line (start -10.5 -18) (end -10.5 -17.6) (layer "F.SilkS") (width 0.12) (tstamp f8b47531-6c06-4e54-9fc9-cd9d0f3dd69f))
(fp_line (start 10.5 15.1) (end 10.5 15.5) (layer "F.SilkS") (width 0.12) (tstamp fd60415a-f01a-46c5-9369-ea970e435e5b))
(fp_poly (pts
(xy -1.5 -16.5)
(xy -3.5 -16.5)
(xy -3.5 -18.5)
(xy -1.5 -18.5)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp 022502e0-e724-4b75-bc35-3c5984dbeb76))
(fp_poly (pts
(xy -1.5 -11.5)
(xy -3.5 -11.5)
(xy -3.5 -13.5)
(xy -1.5 -13.5)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp 9f969b13-1795-4747-8326-93bdc304ed56))
(fp_poly (pts
(xy 3.7 -20.2)
(xy -3.7 -20.2)
(xy -3.7 -24.9)
(xy 3.7 -24.9)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp b9d4de74-d246-495d-8b63-12ab2133d6d6))
(fp_poly (pts
(xy -1.5 -14)
(xy -3.5 -14)
(xy -3.5 -16)
(xy -1.5 -16)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp d655bb0a-cbf9-4908-ad60-7024ff468fbd))
(fp_line (start 11 -26) (end 11 26) (layer "F.CrtYd") (width 0.12) (tstamp 58390862-1833-41dd-9c4e-98073ea0da33))
(fp_line (start 11 26) (end -11 26) (layer "F.CrtYd") (width 0.12) (tstamp 5e755161-24a5-4650-a6e3-9836bf074412))
(fp_line (start -11 -26) (end 11 -26) (layer "F.CrtYd") (width 0.12) (tstamp 9208ea78-8dde-4b3d-91e9-5755ab5efd9a))
(fp_line (start -11 26) (end -11 -26) (layer "F.CrtYd") (width 0.12) (tstamp e86e4fae-9ca7-4857-a93c-bc6a3048f887))
(fp_line (start -10.5 -24.2) (end -9.2 -25.5) (layer "F.Fab") (width 0.12) (tstamp 1bf7d0f9-0dcf-4d7c-b58c-318e3dc42bc9))
(fp_line (start 10.5 -25.5) (end 10.5 25.5) (layer "F.Fab") (width 0.12) (tstamp 247ebffd-2cb6-4379-ba6e-21861fea3913))
(fp_line (start 10.5 25.5) (end -10.5 25.5) (layer "F.Fab") (width 0.12) (tstamp 94d24676-7ae3-483c-8bd6-88d31adf00b4))
(fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer "F.Fab") (width 0.12) (tstamp 966ee9ec-860e-45bb-af89-30bda72b2032))
(fp_line (start -10.5 25.5) (end -10.5 -25.5) (layer "F.Fab") (width 0.12) (tstamp e45aa7d8-0254-4176-afd9-766820762e19))
(pad "1" smd rect (at -8.89 -24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 761c8e29-382a-475c-a37a-7201cc9cd0f5))
(pad "2" smd rect (at -8.89 -21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp e50c80c5-80c4-46a3-8c1e-c9c3a71a0934))
(pad "3" smd rect (at -8.89 -19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 7233cb6b-d8fd-4fcd-9b4f-8b0ed19b1b12))
(pad "4" smd rect (at -8.89 -16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp df83f395-2d18-47e2-a370-952ca41c2b3a))
(pad "5" smd rect (at -8.89 -13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 653a86ba-a1ae-4175-9d4c-c788087956d0))
(pad "6" smd rect (at -8.89 -11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 3ed2c840-383d-4cbd-bc3b-c4ea4c97b333))
(pad "7" smd rect (at -8.89 -8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 6a0919c2-460c-4229-b872-14e318e1ba8b))
(pad "8" smd rect (at -8.89 -6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d1c19c11-0a13-4237-b6b4-fb2ef1db7c6d))
(pad "9" smd rect (at -8.89 -3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 29cbb0bc-f66b-4d11-80e7-5bb270e42496))
(pad "10" smd rect (at -8.89 -1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c401e9c6-1deb-4979-99be-7c801c952098))
(pad "11" smd rect (at -8.89 1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 355ced6c-c08a-4586-9a09-7a9c624536f6))
(pad "12" smd rect (at -8.89 3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c2dd13db-24b6-40f1-b75b-b9ab893d92ea))
(pad "13" smd rect (at -8.89 6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d8200a86-aa75-47a3-ad2a-7f4c9c999a6f))
(pad "14" smd rect (at -8.89 8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 465137b4-f6f7-4d51-9b40-b161947d5cc1))
(pad "15" smd rect (at -8.89 11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d1cd5391-31d2-459f-8adb-4ae3f304a833))
(pad "16" smd rect (at -8.89 13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4086cbd7-6ba7-4e63-8da9-17e60627ee17))
(pad "17" smd rect (at -8.89 16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp bb8162f0-99c8-4884-be5b-c0d0c7e81ff6))
(pad "18" smd rect (at -8.89 19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 91fc5800-6029-46b1-848d-ca0091f97267))
(pad "19" smd rect (at -8.89 21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 275b6416-db29-42cc-9307-bf426917c3b4))
(pad "20" smd rect (at -8.89 24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 3c22d605-7855-4cc6-8ad2-906cadbd02dc))
(pad "21" smd rect (at 8.89 24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 24adc223-60f0-4497-98a3-d664c5a13280))
(pad "22" smd rect (at 8.89 21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 13ac70df-e9b9-44e5-96e6-20f0b0dc6a3a))
(pad "23" smd rect (at 8.89 19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 278a91dc-d57d-4a5c-a045-34b6bd84131f))
(pad "24" smd rect (at 8.89 16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 98966de3-2364-43d8-a2e0-b03bb9487b03))
(pad "25" smd rect (at 8.89 13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4cc0e615-05a0-4f42-a208-4011ba8ef841))
(pad "26" smd rect (at 8.89 11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4641c87c-bffa-41fe-ae77-be3a97a6f797))
(pad "27" smd rect (at 8.89 8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp da546d77-4b03-4562-8fc6-837fd68e7691))
(pad "28" smd rect (at 8.89 6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp e2fac877-439c-4da0-af2e-5fdc70f85d42))
(pad "29" smd rect (at 8.89 3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 2ea8fa6f-efc3-40fe-bcf9-05bfa46ead4f))
(pad "30" smd rect (at 8.89 1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 9da1ace0-4181-4f12-80f8-16786a9e5c07))
(pad "31" smd rect (at 8.89 -1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 29126f72-63f7-4275-8b12-6b96a71c6f17))
(pad "32" smd rect (at 8.89 -3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp af186015-d283-4209-aade-a247e5de01df))
(pad "33" smd rect (at 8.89 -6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 8d063f79-9282-4820-bcf4-1ff3c006cf08))
(pad "34" smd rect (at 8.89 -8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 0554bea0-89b2-4e25-9ea3-4c73921c94cb))
(pad "35" smd rect (at 8.89 -11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 88606262-3ac5-44a1-aacc-18b26cf4d396))
(pad "36" smd rect (at 8.89 -13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp cd1cff81-9d8a-4511-96d6-4ddb79484001))
(pad "37" smd rect (at 8.89 -16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 22962957-1efd-404d-83db-5b233b6c15b0))
(pad "38" smd rect (at 8.89 -19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 8eb98c56-17e4-4de6-a3e3-06dcfa392040))
(pad "39" smd rect (at 8.89 -21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c66a19ed-90c0-4502-ae75-6a4c4ab9f297))
(pad "40" smd rect (at 8.89 -24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp bd085057-7c0e-463a-982b-968a2dc1f0f8))
(pad "41" smd rect (at -2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp b21299b9-3c4d-43df-b399-7f9b08eb5470))
(pad "42" smd rect (at 0 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 751d823e-1d7b-4501-9658-d06d459b0e16))
(pad "43" smd rect (at 2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp aadc3df5-0e2d-4f3d-b72e-6f184da74c89))
(model "${KIPRJMOD}/pico/Pico.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,366 @@
(footprint "RPi_Pico_SMD_TH" (version 20211014) (generator pcbnew)
(layer "F.Cu")
(tedit 6224DF39)
(descr "Through hole straight pin header, 2x20, 2.54mm pitch, double rows")
(tags "Through hole pin header THT 2x20 2.54mm double row")
(attr through_hole)
(fp_text reference "REF**" (at 0 0) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 96315415-cfed-47d2-b3dd-d782358bd0df)
)
(fp_text value "RPi_Pico_SMD_TH" (at 0 2.159) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 46cbe85d-ff47-428e-b187-4ebd50a66e0c)
)
(fp_text user "GP11" (at -13.2 11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 000b46d6-b833-4804-8f56-56d539f76d09)
)
(fp_text user "AGND" (at 13.054 -6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 08ec951f-e7eb-41cf-9589-697107a98e88)
)
(fp_text user "GND" (at 12.8 19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 09bbea88-8bd7-48ec-baae-1b4a9a11a40e)
)
(fp_text user "VBUS" (at 13.3 -24.2 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 0f0f7bb5-ade7-4a81-82b4-43be6a8ad05c)
)
(fp_text user "GND" (at 12.8 -19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 0fb27e11-fde6-4a25-adbb-e9684771b369)
)
(fp_text user "GP13" (at -13.054 16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 113ffcdf-4c54-4e37-81dc-f91efa934ba7)
)
(fp_text user "ADC_VREF" (at 14 -12.5 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 162e5bdd-61a8-46a3-8485-826b5d58e1a1)
)
(fp_text user "GP4" (at -12.8 -11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 1cacb878-9da4-41fc-aa80-018bc841e19a)
)
(fp_text user "GP7" (at -12.7 -1.3 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 1de61170-5337-44c5-ba28-bd477db4bff1)
)
(fp_text user "GP15" (at -13.054 24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2102c637-9f11-48f1-aae6-b4139dc22be2)
)
(fp_text user "GP17" (at 13.054 21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 272c2a78-b5f5-4b61-aed3-ec69e0e92729)
)
(fp_text user "GP26" (at 13.054 -1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2b25e886-ded1-450a-ada1-ece4208052e4)
)
(fp_text user "SWCLK" (at -5.7 26.2) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2eea20e6-112c-411a-b615-885ae773135a)
)
(fp_text user "3V3_EN" (at 13.7 -17.2 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 2f3fba7a-cf45-4bd8-9035-07e6fa0b4732)
)
(fp_text user "3V3" (at 12.9 -13.9 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 319c683d-aed6-4e7d-aee2-ff9871746d52)
)
(fp_text user "GP8" (at -12.8 1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 3a1a39fc-8030-4c93-9d9c-d79ba6824099)
)
(fp_text user "GP16" (at 13.054 24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 3f2a6679-91d7-4b6c-bf5c-c4d5abb2bc44)
)
(fp_text user "GND" (at 12.8 6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 41c18011-40db-4384-9ba4-c0158d0d9d6a)
)
(fp_text user "GND" (at -12.8 -6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 4346fe55-f906-453a-b81a-1c013104a598)
)
(fp_text user "GP28" (at 13.054 -9.144 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 456c5e47-d71e-4708-b061-1e61634d8648)
)
(fp_text user "GP9" (at -12.8 3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 49b5f540-e128-4e08-bb09-f321f8e64056)
)
(fp_text user "SWDIO" (at 5.6 26.2) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 49fec31e-3712-4229-8142-b191d90a97d0)
)
(fp_text user "GP5" (at -12.8 -8.89 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 4ce9470f-5633-41bf-89ac-74a810939893)
)
(fp_text user "GP0" (at -12.8 -24.13 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 51cc007a-3378-4ce3-909c-71e94822f8d1)
)
(fp_text user "GP3" (at -12.8 -13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 5576cd03-3bad-40c5-9316-1d286895d52a)
)
(fp_text user "GND" (at -12.8 19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 56d2bc5d-fd72-4542-ab0f-053a5fd60efa)
)
(fp_text user "GND" (at -12.8 -19.05 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 5e6153e6-2c19-46de-9a8e-b310a2a07861)
)
(fp_text user "GP20" (at 13.054 11.43 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 62f15a9a-9893-486e-9ad0-ea43f88fc9e7)
)
(fp_text user "GP19" (at 13.054 13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 7273dd21-e834-41d3-b279-d7de727709ca)
)
(fp_text user "GP2" (at -12.9 -16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp 96ef76a5-90c3-4767-98ba-2b61887e28d3)
)
(fp_text user "GP18" (at 13.054 16.51 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp a3fab380-991d-404b-95d5-1c209b047b6e)
)
(fp_text user "GP6" (at -12.8 -3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp aa23bfe3-454b-4a2b-bfe1-101c747eb84e)
)
(fp_text user "GP21" (at 13.054 8.9 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp b2b363dd-8e47-4a76-a142-e00e28334875)
)
(fp_text user "GP22" (at 13.054 3.81 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c15b2f75-2e10-4b71-bebb-e2b872171b92)
)
(fp_text user "GND" (at -12.8 6.35 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c512fed3-9770-476b-b048-e781b4f3cd72)
)
(fp_text user "GP14" (at -13.1 21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp c7cd39db-931a-4d86-96b8-57e6b39f58f9)
)
(fp_text user "VSYS" (at 13.2 -21.59 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp cb1a49ef-0a06-4f40-9008-61d1d1c36198)
)
(fp_text user "GP12" (at -13.2 13.97 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp ceb12634-32ca-4cbf-9ff5-5e8b53ab18ad)
)
(fp_text user "GP1" (at -12.9 -21.6 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp db6412d3-e6c3-4bdd-abf4-a8f55d56df31)
)
(fp_text user "GP10" (at -13.054 8.89 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp dd70858b-2f9a-4b3f-9af5-ead3a9ba57e9)
)
(fp_text user "RUN" (at 13 1.27 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp f6a5c856-f2b5-40eb-a958-b666a0d408a0)
)
(fp_text user "GP27" (at 13.054 -3.8 45) (layer "F.SilkS")
(effects (font (size 0.8 0.8) (thickness 0.15)))
(tstamp ffa442c7-cbef-461f-8613-c211201cec06)
)
(fp_text user "Copper Keepouts shown on Dwgs layer" (at 0.1 -30.2) (layer "Cmts.User")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 66ca01b3-51ff-4294-9b77-4492e98f6aec)
)
(fp_text user "${REFERENCE}" (at 0 0 180) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 83184391-76ed-44f0-8cd0-01f89f157bdb)
)
(fp_line (start 10.5 4.9) (end 10.5 5.3) (layer "F.SilkS") (width 0.12) (tstamp 099473f1-6598-46ff-a50f-4c520832170d))
(fp_line (start -10.5 -23.1) (end -10.5 -22.7) (layer "F.SilkS") (width 0.12) (tstamp 0c5dddf1-38df-43d2-b49c-e7b691dab0ab))
(fp_line (start -10.5 -20.5) (end -10.5 -20.1) (layer "F.SilkS") (width 0.12) (tstamp 0ce1dd44-f307-4f98-9f0d-478fd87daa64))
(fp_line (start 10.5 10) (end 10.5 10.4) (layer "F.SilkS") (width 0.12) (tstamp 15699041-ed40-45ee-87d8-f5e206a88536))
(fp_line (start -3.7 25.5) (end -10.5 25.5) (layer "F.SilkS") (width 0.12) (tstamp 1855ca44-ab48-4b76-a210-97fc81d916c4))
(fp_line (start 10.5 -0.2) (end 10.5 0.2) (layer "F.SilkS") (width 0.12) (tstamp 1876c30c-72b2-4a8d-9f32-bf8b213530b4))
(fp_line (start 10.5 22.7) (end 10.5 23.1) (layer "F.SilkS") (width 0.12) (tstamp 199124ca-dd64-45cf-a063-97cc545cbea7))
(fp_line (start 10.5 -23.1) (end 10.5 -22.7) (layer "F.SilkS") (width 0.12) (tstamp 1bd80cf9-f42a-4aee-a408-9dbf4e81e625))
(fp_line (start -7.493 -22.833) (end -7.493 -25.5) (layer "F.SilkS") (width 0.12) (tstamp 254f7cc6-cee1-44ca-9afe-939b318201aa))
(fp_line (start 10.5 -5.3) (end 10.5 -4.9) (layer "F.SilkS") (width 0.12) (tstamp 26a22c19-4cc5-4237-9651-0edc4f854154))
(fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer "F.SilkS") (width 0.12) (tstamp 3457afc5-3e4f-4220-81d1-b079f653a722))
(fp_line (start -10.5 20.1) (end -10.5 20.5) (layer "F.SilkS") (width 0.12) (tstamp 3b65c51e-c243-447e-bee9-832d94c1630e))
(fp_line (start -10.5 -2.7) (end -10.5 -2.3) (layer "F.SilkS") (width 0.12) (tstamp 3bbbbb7d-391c-4fee-ac81-3c47878edc38))
(fp_line (start -10.5 22.7) (end -10.5 23.1) (layer "F.SilkS") (width 0.12) (tstamp 402c62e6-8d8e-473a-a0cf-2b86e4908cd7))
(fp_line (start -10.5 -15.4) (end -10.5 -15) (layer "F.SilkS") (width 0.12) (tstamp 4970ec6e-3725-4619-b57d-dc2c2cb86ed0))
(fp_line (start -10.5 -5.3) (end -10.5 -4.9) (layer "F.SilkS") (width 0.12) (tstamp 4a53fa56-d65b-42a4-a4be-8f49c4c015bb))
(fp_line (start 10.5 -2.7) (end 10.5 -2.3) (layer "F.SilkS") (width 0.12) (tstamp 4bbde53d-6894-4e18-9480-84a6a26d5f6b))
(fp_line (start 10.5 25.5) (end 3.7 25.5) (layer "F.SilkS") (width 0.12) (tstamp 54ed3ee1-891b-418e-ab9c-6a18747d7388))
(fp_line (start 10.5 -15.4) (end 10.5 -15) (layer "F.SilkS") (width 0.12) (tstamp 57f248a7-365e-4c42-b80d-5a7d1f9dfaf3))
(fp_line (start -10.5 7.4) (end -10.5 7.8) (layer "F.SilkS") (width 0.12) (tstamp 5bab6a37-1fdf-4cf8-b571-44c962ed86e9))
(fp_line (start -10.5 -22.833) (end -7.493 -22.833) (layer "F.SilkS") (width 0.12) (tstamp 5f48b0f2-82cf-40ce-afac-440f97643c36))
(fp_line (start -10.5 -7.8) (end -10.5 -7.4) (layer "F.SilkS") (width 0.12) (tstamp 6150c02b-beb5-4af1-951e-3666a285a6ea))
(fp_line (start -10.5 4.9) (end -10.5 5.3) (layer "F.SilkS") (width 0.12) (tstamp 706c1cb9-5d96-4282-9efc-6147f0125147))
(fp_line (start -1.5 25.5) (end -1.1 25.5) (layer "F.SilkS") (width 0.12) (tstamp 749d9ed0-2ff2-4b55-abc5-f7231ec3aa28))
(fp_line (start -10.5 -12.9) (end -10.5 -12.5) (layer "F.SilkS") (width 0.12) (tstamp 755f94aa-38f0-4a64-a7c7-6c71cb18cddf))
(fp_line (start 10.5 -20.5) (end 10.5 -20.1) (layer "F.SilkS") (width 0.12) (tstamp 80095e91-6317-4cfb-9aea-884c9a1accc5))
(fp_line (start -10.5 15.1) (end -10.5 15.5) (layer "F.SilkS") (width 0.12) (tstamp 88deea08-baa5-4041-beb7-01c299cf00e6))
(fp_line (start 1.1 25.5) (end 1.5 25.5) (layer "F.SilkS") (width 0.12) (tstamp 8a8c373f-9bc3-4cf7-8f41-4802da916698))
(fp_line (start 10.5 -12.9) (end 10.5 -12.5) (layer "F.SilkS") (width 0.12) (tstamp 9112ddd5-10d5-48b8-954f-f1d5adcacbd9))
(fp_line (start -10.5 10) (end -10.5 10.4) (layer "F.SilkS") (width 0.12) (tstamp 92f063a3-7cce-4a96-8a3a-cf5767f700c6))
(fp_line (start 10.5 2.3) (end 10.5 2.7) (layer "F.SilkS") (width 0.12) (tstamp 968a6172-7a4e-40ab-a78a-e4d03671e136))
(fp_line (start -10.5 -10.4) (end -10.5 -10) (layer "F.SilkS") (width 0.12) (tstamp 9c2999b2-1cf1-4204-9d23-243401b77aa3))
(fp_line (start -10.5 -0.2) (end -10.5 0.2) (layer "F.SilkS") (width 0.12) (tstamp 9ed09117-33cf-45a3-85a7-2606522feaf8))
(fp_line (start -10.5 17.6) (end -10.5 18) (layer "F.SilkS") (width 0.12) (tstamp a177c3b4-b04c-490e-b3fe-d3d4d7aa24a7))
(fp_line (start -10.5 12.5) (end -10.5 12.9) (layer "F.SilkS") (width 0.12) (tstamp ad4d05f5-6957-42f8-b65c-c657b9a26485))
(fp_line (start 10.5 7.4) (end 10.5 7.8) (layer "F.SilkS") (width 0.12) (tstamp af76ce95-feca-41fb-bf31-edaa26d6766a))
(fp_line (start 10.5 -10.4) (end 10.5 -10) (layer "F.SilkS") (width 0.12) (tstamp c1b11207-7c0a-49b3-a41d-2fe677d5f3b8))
(fp_line (start 10.5 17.6) (end 10.5 18) (layer "F.SilkS") (width 0.12) (tstamp c346b00c-b5e0-4939-beb4-7f48172ef334))
(fp_line (start 10.5 -7.8) (end 10.5 -7.4) (layer "F.SilkS") (width 0.12) (tstamp c3d5daf8-d359-42b2-a7c2-0d080ba7e212))
(fp_line (start -10.5 -25.5) (end -10.5 -25.2) (layer "F.SilkS") (width 0.12) (tstamp ca56e1ad-54bf-4df5-a4f7-99f5d61d0de9))
(fp_line (start 10.5 20.1) (end 10.5 20.5) (layer "F.SilkS") (width 0.12) (tstamp ca9b74ce-0dee-401c-9544-f599f4cf538d))
(fp_line (start 10.5 12.5) (end 10.5 12.9) (layer "F.SilkS") (width 0.12) (tstamp d3dd7cdb-b730-487d-804d-99150ba318ef))
(fp_line (start 10.5 -18) (end 10.5 -17.6) (layer "F.SilkS") (width 0.12) (tstamp e11ae5a5-aa10-4f10-b346-f16e33c7899a))
(fp_line (start -10.5 2.3) (end -10.5 2.7) (layer "F.SilkS") (width 0.12) (tstamp eb391a95-1c1d-4613-b508-c76b8bc13a73))
(fp_line (start 10.5 -25.5) (end 10.5 -25.2) (layer "F.SilkS") (width 0.12) (tstamp f23ac723-a36d-491d-9473-7ec0ffed332d))
(fp_line (start -10.5 -18) (end -10.5 -17.6) (layer "F.SilkS") (width 0.12) (tstamp f8b47531-6c06-4e54-9fc9-cd9d0f3dd69f))
(fp_line (start 10.5 15.1) (end 10.5 15.5) (layer "F.SilkS") (width 0.12) (tstamp fd60415a-f01a-46c5-9369-ea970e435e5b))
(fp_poly (pts
(xy -1.5 -16.5)
(xy -3.5 -16.5)
(xy -3.5 -18.5)
(xy -1.5 -18.5)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp 022502e0-e724-4b75-bc35-3c5984dbeb76))
(fp_poly (pts
(xy -1.5 -11.5)
(xy -3.5 -11.5)
(xy -3.5 -13.5)
(xy -1.5 -13.5)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp 9f969b13-1795-4747-8326-93bdc304ed56))
(fp_poly (pts
(xy 3.7 -20.2)
(xy -3.7 -20.2)
(xy -3.7 -24.9)
(xy 3.7 -24.9)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp b9d4de74-d246-495d-8b63-12ab2133d6d6))
(fp_poly (pts
(xy -1.5 -14)
(xy -3.5 -14)
(xy -3.5 -16)
(xy -1.5 -16)
) (layer "Dwgs.User") (width 0.1) (fill solid) (tstamp d655bb0a-cbf9-4908-ad60-7024ff468fbd))
(fp_line (start 11 -26) (end 11 26) (layer "F.CrtYd") (width 0.12) (tstamp 58390862-1833-41dd-9c4e-98073ea0da33))
(fp_line (start 11 26) (end -11 26) (layer "F.CrtYd") (width 0.12) (tstamp 5e755161-24a5-4650-a6e3-9836bf074412))
(fp_line (start -11 -26) (end 11 -26) (layer "F.CrtYd") (width 0.12) (tstamp 9208ea78-8dde-4b3d-91e9-5755ab5efd9a))
(fp_line (start -11 26) (end -11 -26) (layer "F.CrtYd") (width 0.12) (tstamp e86e4fae-9ca7-4857-a93c-bc6a3048f887))
(fp_line (start -10.5 -24.2) (end -9.2 -25.5) (layer "F.Fab") (width 0.12) (tstamp 1bf7d0f9-0dcf-4d7c-b58c-318e3dc42bc9))
(fp_line (start 10.5 -25.5) (end 10.5 25.5) (layer "F.Fab") (width 0.12) (tstamp 247ebffd-2cb6-4379-ba6e-21861fea3913))
(fp_line (start 10.5 25.5) (end -10.5 25.5) (layer "F.Fab") (width 0.12) (tstamp 94d24676-7ae3-483c-8bd6-88d31adf00b4))
(fp_line (start -10.5 -25.5) (end 10.5 -25.5) (layer "F.Fab") (width 0.12) (tstamp 966ee9ec-860e-45bb-af89-30bda72b2032))
(fp_line (start -10.5 25.5) (end -10.5 -25.5) (layer "F.Fab") (width 0.12) (tstamp e45aa7d8-0254-4176-afd9-766820762e19))
(pad "" np_thru_hole oval (at 2.725 -24) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) (tstamp 631c7be5-8dc2-4df4-ab73-737bb928e763))
(pad "" np_thru_hole oval (at -2.725 -24) (size 1.8 1.8) (drill 1.8) (layers *.Cu *.Mask) (tstamp 6d2a06fb-0b1e-452a-ab38-11a5f45e1b32))
(pad "" np_thru_hole oval (at -2.425 -20.97) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask) (tstamp 929a9b03-e99e-4b88-8e16-759f8c6b59a5))
(pad "" np_thru_hole oval (at 2.425 -20.97) (size 1.5 1.5) (drill 1.5) (layers *.Cu *.Mask) (tstamp c210293b-1d7a-4e96-92e9-058784106727))
(pad "1" thru_hole oval (at -8.89 -24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 015f5586-ba76-4a98-9114-f5cd2c67134d))
(pad "1" smd rect (at -8.89 -24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 761c8e29-382a-475c-a37a-7201cc9cd0f5))
(pad "2" thru_hole oval (at -8.89 -21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 541721d1-074b-496e-a833-813044b3e8ca))
(pad "2" smd rect (at -8.89 -21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp e50c80c5-80c4-46a3-8c1e-c9c3a71a0934))
(pad "3" smd rect (at -8.89 -19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 7233cb6b-d8fd-4fcd-9b4f-8b0ed19b1b12))
(pad "3" thru_hole rect (at -8.89 -19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp d05faa1f-5f69-41bf-86d3-2cd224432e1b))
(pad "4" thru_hole oval (at -8.89 -16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 2f424da3-8fae-4941-bc6d-20044787372f))
(pad "4" smd rect (at -8.89 -16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp df83f395-2d18-47e2-a370-952ca41c2b3a))
(pad "5" thru_hole oval (at -8.89 -13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 41485de5-6ed3-4c83-b69e-ef83ae18093c))
(pad "5" smd rect (at -8.89 -13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 653a86ba-a1ae-4175-9d4c-c788087956d0))
(pad "6" thru_hole oval (at -8.89 -11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 3bca658b-a598-4669-a7cb-3f9b5f47bb5a))
(pad "6" smd rect (at -8.89 -11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 3ed2c840-383d-4cbd-bc3b-c4ea4c97b333))
(pad "7" smd rect (at -8.89 -8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 6a0919c2-460c-4229-b872-14e318e1ba8b))
(pad "7" thru_hole oval (at -8.89 -8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp bef2abc2-bf3e-4a72-ad03-f8da3cd893cb))
(pad "8" thru_hole rect (at -8.89 -6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp b7aa0362-7c9e-4a42-b191-ab15a38bf3c5))
(pad "8" smd rect (at -8.89 -6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d1c19c11-0a13-4237-b6b4-fb2ef1db7c6d))
(pad "9" smd rect (at -8.89 -3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 29cbb0bc-f66b-4d11-80e7-5bb270e42496))
(pad "9" thru_hole oval (at -8.89 -3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp dd1edfbb-5fb6-42cd-b740-fd54ab3ef1f1))
(pad "10" thru_hole oval (at -8.89 -1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 42d3f9d6-2a47-41a8-b942-295fcb83bcd8))
(pad "10" smd rect (at -8.89 -1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c401e9c6-1deb-4979-99be-7c801c952098))
(pad "11" smd rect (at -8.89 1.27) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 355ced6c-c08a-4586-9a09-7a9c624536f6))
(pad "11" thru_hole oval (at -8.89 1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 7bea05d4-1dec-4cd6-aa53-302dde803254))
(pad "12" thru_hole oval (at -8.89 3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp a5362821-c161-4c7a-a00c-40e1d7472d56))
(pad "12" smd rect (at -8.89 3.81) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c2dd13db-24b6-40f1-b75b-b9ab893d92ea))
(pad "13" thru_hole rect (at -8.89 6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 1cc5480b-56b7-4379-98e2-ccafc88911a7))
(pad "13" smd rect (at -8.89 6.35) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d8200a86-aa75-47a3-ad2a-7f4c9c999a6f))
(pad "14" smd rect (at -8.89 8.89) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 465137b4-f6f7-4d51-9b40-b161947d5cc1))
(pad "14" thru_hole oval (at -8.89 8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 9a8ad8bb-d9a9-4b2b-bc88-ea6fd2676d45))
(pad "15" thru_hole oval (at -8.89 11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 851f3d61-ba3b-4e6e-abd4-cafa4d9b64cb))
(pad "15" smd rect (at -8.89 11.43) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp d1cd5391-31d2-459f-8adb-4ae3f304a833))
(pad "16" smd rect (at -8.89 13.97) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4086cbd7-6ba7-4e63-8da9-17e60627ee17))
(pad "16" thru_hole oval (at -8.89 13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp ca6e2466-a90a-4dab-be16-b070610e5087))
(pad "17" smd rect (at -8.89 16.51) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp bb8162f0-99c8-4884-be5b-c0d0c7e81ff6))
(pad "17" thru_hole oval (at -8.89 16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp d18f2428-546f-4066-8ffb-7653303685db))
(pad "18" smd rect (at -8.89 19.05) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 91fc5800-6029-46b1-848d-ca0091f97267))
(pad "18" thru_hole rect (at -8.89 19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp d95c6650-fcd9-4184-97fe-fde43ea5c0cd))
(pad "19" thru_hole oval (at -8.89 21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 12fa3c3f-3d14-451a-a6a8-884fd1b32fa7))
(pad "19" smd rect (at -8.89 21.59) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 275b6416-db29-42cc-9307-bf426917c3b4))
(pad "20" smd rect (at -8.89 24.13) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 3c22d605-7855-4cc6-8ad2-906cadbd02dc))
(pad "20" thru_hole oval (at -8.89 24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp f4a1ab68-998b-43e3-aa33-40b58210bc99))
(pad "21" smd rect (at 8.89 24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 24adc223-60f0-4497-98a3-d664c5a13280))
(pad "21" thru_hole oval (at 8.89 24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp e76ec524-408a-4daa-89f6-0edfdbcfb621))
(pad "22" smd rect (at 8.89 21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 13ac70df-e9b9-44e5-96e6-20f0b0dc6a3a))
(pad "22" thru_hole oval (at 8.89 21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 78b44915-d68e-4488-a873-34767153ef98))
(pad "23" smd rect (at 8.89 19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 278a91dc-d57d-4a5c-a045-34b6bd84131f))
(pad "23" thru_hole rect (at 8.89 19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 3993c707-5291-41b6-83c0-d1c09cb3833a))
(pad "24" thru_hole oval (at 8.89 16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 17ff35b3-d658-499b-9a46-ea36063fed4e))
(pad "24" smd rect (at 8.89 16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 98966de3-2364-43d8-a2e0-b03bb9487b03))
(pad "25" smd rect (at 8.89 13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4cc0e615-05a0-4f42-a208-4011ba8ef841))
(pad "25" thru_hole oval (at 8.89 13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp d13b0eae-4711-4325-a6bb-aa8e3646e86e))
(pad "26" smd rect (at 8.89 11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 4641c87c-bffa-41fe-ae77-be3a97a6f797))
(pad "26" thru_hole oval (at 8.89 11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp a917c6d9-225d-4c90-bf25-fe8eff8abd3f))
(pad "27" thru_hole oval (at 8.89 8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 89a3dae6-dcb5-435b-a383-656b6a19a316))
(pad "27" smd rect (at 8.89 8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp da546d77-4b03-4562-8fc6-837fd68e7691))
(pad "28" thru_hole rect (at 8.89 6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp b54cae5b-c17c-4ed7-b249-2e7d5e83609a))
(pad "28" smd rect (at 8.89 6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp e2fac877-439c-4da0-af2e-5fdc70f85d42))
(pad "29" thru_hole oval (at 8.89 3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 26bc8641-9bca-4204-9709-deedbe202a36))
(pad "29" smd rect (at 8.89 3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 2ea8fa6f-efc3-40fe-bcf9-05bfa46ead4f))
(pad "30" smd rect (at 8.89 1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 9da1ace0-4181-4f12-80f8-16786a9e5c07))
(pad "30" thru_hole oval (at 8.89 1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp fd5f7d77-0f73-4021-88a8-0641f0fe8d98))
(pad "31" thru_hole oval (at 8.89 -1.27) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 1755646e-fc08-4e43-a301-d9b3ea704cf6))
(pad "31" smd rect (at 8.89 -1.27) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 29126f72-63f7-4275-8b12-6b96a71c6f17))
(pad "32" thru_hole oval (at 8.89 -3.81) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 1317ff66-8ecf-46c9-9612-8d2eae03c537))
(pad "32" smd rect (at 8.89 -3.81) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp af186015-d283-4209-aade-a247e5de01df))
(pad "33" smd rect (at 8.89 -6.35) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 8d063f79-9282-4820-bcf4-1ff3c006cf08))
(pad "33" thru_hole rect (at 8.89 -6.35) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp ef4533db-6ea4-4b68-b436-8e9575be570d))
(pad "34" smd rect (at 8.89 -8.89) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 0554bea0-89b2-4e25-9ea3-4c73921c94cb))
(pad "34" thru_hole oval (at 8.89 -8.89) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp f5dba25f-5f9b-4770-84f9-c038fb119360))
(pad "35" smd rect (at 8.89 -11.43) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 88606262-3ac5-44a1-aacc-18b26cf4d396))
(pad "35" thru_hole oval (at 8.89 -11.43) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 8aff0f38-92a8-45ec-b106-b185e93ca3fd))
(pad "36" thru_hole oval (at 8.89 -13.97) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 63caf46e-0228-40de-b819-c6bd29dd1711))
(pad "36" smd rect (at 8.89 -13.97) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp cd1cff81-9d8a-4511-96d6-4ddb79484001))
(pad "37" smd rect (at 8.89 -16.51) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 22962957-1efd-404d-83db-5b233b6c15b0))
(pad "37" thru_hole oval (at 8.89 -16.51) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp a7fc0812-140f-4d96-9cd8-ead8c1c610b1))
(pad "38" smd rect (at 8.89 -19.05) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 8eb98c56-17e4-4de6-a3e3-06dcfa392040))
(pad "38" thru_hole rect (at 8.89 -19.05) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 94a10cae-6ef2-4b64-9d98-fb22aa3306cc))
(pad "39" smd rect (at 8.89 -21.59) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp c66a19ed-90c0-4502-ae75-6a4c4ab9f297))
(pad "39" thru_hole oval (at 8.89 -21.59) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp f33ec0db-ef0f-4576-8054-2833161a8f30))
(pad "40" thru_hole oval (at 8.89 -24.13) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 0ba17a9b-d889-426c-b4fe-048bed6b6be8))
(pad "40" smd rect (at 8.89 -24.13) (size 3.5 1.7) (drill (offset 0.9 0)) (layers "F.Cu" "F.Mask") (tstamp bd085057-7c0e-463a-982b-968a2dc1f0f8))
(pad "41" smd rect (at -2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp b21299b9-3c4d-43df-b399-7f9b08eb5470))
(pad "41" thru_hole oval (at -2.54 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp fc2e9f96-3bed-4896-b995-f56e799f1c77))
(pad "42" thru_hole rect (at 0 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 4cfd9a02-97ef-4af4-a6b8-db9be1a8fda5))
(pad "42" smd rect (at 0 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp 751d823e-1d7b-4501-9658-d06d459b0e16))
(pad "43" thru_hole oval (at 2.54 23.9) (size 1.7 1.7) (drill 1.02) (layers *.Cu *.Mask) (tstamp 92761c09-a591-4c8e-af4d-e0e2262cb01d))
(pad "43" smd rect (at 2.54 23.9 90) (size 3.5 1.7) (drill (offset -0.9 0)) (layers "F.Cu" "F.Mask") (tstamp aadc3df5-0e2d-4f3d-b72e-6f184da74c89))
(model "C:/Users/ncara/OneDrive/IoT/Tools/KiCad/RP-Pico Libraries/Pico.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
(rotate (xyz 0 0 0))
)
)

View File

@ -0,0 +1,206 @@
(footprint "219-9GULLWING" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "Dip Switch SPST 9 Position Surface Mount Slide (Standard) Actuator 100mA 20VDC")
(tags "SWITCH SLIDE DIP SPST 100MA 20V, GULL WING")
(attr smd)
(fp_text reference "REF**" (at -13.4 2 90 unlocked) (layer "F.SilkS")
(effects (font (size 1.2 1.2) (thickness 0.2) bold))
(tstamp a57f9519-6e1e-42f1-a24d-78cd73a3976d)
)
(fp_text value "219-9XXX" (at 0.5 0 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 58c0d3f1-0555-4bf8-9c58-1459b6d54dae)
)
(fp_text user "${REFERENCE}" (at -5.5 -1.1 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify left bottom))
(tstamp ce5155c9-4404-450b-9771-5b45b624b1f5)
)
(fp_line (start -12.5 -4) (end -12.5 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp edae452b-bb12-45bf-b13d-da3c42b2480c))
(fp_line (start -12.5 4) (end -11 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 7ec43abd-4d18-4c91-a355-2aee6cbf855d))
(fp_line (start -11.882843 4.8) (end -11.317157 4.8)
(stroke (width 0.4) (type default)) (layer "F.SilkS") (tstamp a3cc6661-cb21-4a2f-9469-0ce79a09fe5b))
(fp_line (start -11 -4) (end -12.5 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d229807f-ac0d-4b69-a41a-4a0f031b6952))
(fp_line (start -10.2 -1.2) (end -10.2 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 5c21f019-2d33-42f4-8e8a-5619432b939a))
(fp_line (start -10.2 1) (end -10.8 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp fecf0249-bcf8-4a4b-88aa-38ee49555d3f))
(fp_line (start -10.2 1) (end -10.2 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 493912a8-8a69-46dc-aad1-f8ec3456f825))
(fp_line (start -9.4 -4) (end -8.4 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 09c4c289-93c2-4417-a36c-29d6ba4a8d86))
(fp_line (start -9.4 4) (end -8.4 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d6db40a6-e9dc-4816-9ff1-147b1fc556c0))
(fp_line (start -7.6 -1.2) (end -7.6 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp abb29465-752f-4883-9649-2b64ce2f74a4))
(fp_line (start -7.6 1) (end -8.2 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 4a047898-ae51-4ec7-bfe9-1fd628bae6ae))
(fp_line (start -7.6 1) (end -7.6 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 3606d2d3-cdf4-4f1f-89f4-a53a05d7cb88))
(fp_line (start -6.8 -4) (end -5.8 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp a0e670e0-773c-47ba-b5e0-274988443cba))
(fp_line (start -6.8 4) (end -5.8 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 2ba0d669-9faf-4959-8bd3-c9e930b314e0))
(fp_line (start -5 -1.2) (end -5 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 11c765e6-71d6-4b4f-b30c-a2db925cae58))
(fp_line (start -5 1) (end -5.6 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp fa20b2bf-85d2-4ced-9587-8e241e9f2f10))
(fp_line (start -5 1) (end -5 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 2f0356dd-8453-4f0b-b4c9-bb7bbc4c3d6a))
(fp_line (start -4.4 -4) (end -3.4 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp aa7d3086-7b1e-4868-b680-86d8d388f068))
(fp_line (start -4.4 4) (end -3.4 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 60058ae2-8040-4ecf-b9f3-cfadab144f33))
(fp_line (start -2.6 -1.2) (end -2.6 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 65b3b4d5-ec0b-454e-950b-22832bcd626c))
(fp_line (start -2.6 1) (end -3.2 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 8d9afce4-b119-46f2-878d-a602610b59ab))
(fp_line (start -2.6 1) (end -2.6 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp f99a6c20-2785-459a-93a7-d029b86687eb))
(fp_line (start -1.8 -4) (end -0.8 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b4dd2627-d1e5-48d2-8e00-f62388881016))
(fp_line (start -1.8 4) (end -0.8 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp a0d9afb4-54cb-4b0c-886e-c031d141bca4))
(fp_line (start 0 -1.2) (end 0 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d50fd351-bfa0-47a2-98dc-65182cea6837))
(fp_line (start 0 1) (end -0.6 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp cf188e08-2656-4db4-bc9e-9b9cf73f8d04))
(fp_line (start 0 1) (end 0 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp eb3ab402-2bf3-4bf7-9add-9c5d241be2b0))
(fp_line (start 0.8 -4) (end 1.8 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp ee98edd8-2a73-49cc-a957-08a6592fb5cb))
(fp_line (start 0.8 4) (end 1.8 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 8626c931-4a8d-4fa6-b996-10be9a65f88d))
(fp_line (start 2.6 -1.2) (end 2.6 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b46ee2f6-43b8-4c09-a607-deb1a4ebad80))
(fp_line (start 2.6 1) (end 2 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 1c271f58-eef6-4d47-8ca8-343b1f5dcb95))
(fp_line (start 2.6 1) (end 2.6 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp da84cb20-bddb-49fc-8472-db1cee451891))
(fp_line (start 3.2 -4) (end 4.2 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 0e74ad6b-e71e-4155-98d1-f6bf8d021e29))
(fp_line (start 3.2 4) (end 4.2 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp a15bad26-e880-4cd9-a447-d877de8be1b1))
(fp_line (start 5 -1.2) (end 5 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp cb7355b5-bfec-4dab-af1b-5ff8585cafc8))
(fp_line (start 5 1) (end 4.4 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 176f009b-9521-4c5b-9331-804417f41d83))
(fp_line (start 5 1) (end 5 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 452474a8-172d-44bb-bbfc-5109dfe7ef64))
(fp_line (start 5.8 -4) (end 6.8 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 5d915751-523a-4118-b59e-f7b10744d53a))
(fp_line (start 5.8 4) (end 6.8 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp d04ecb76-dea7-4914-9813-0349da8ea7c6))
(fp_line (start 7.6 -1.2) (end 7.6 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp c8285a78-776d-435f-b481-609222291d9b))
(fp_line (start 7.6 1) (end 7 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 7cf11a46-a436-4860-a736-9c7ddf547bb3))
(fp_line (start 7.6 1) (end 7.6 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp c3b5212a-a4af-4423-a631-ae580e2c6c49))
(fp_line (start 8.4 -4) (end 9.4 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 7adfa21f-e738-493e-81dd-5859fbd19006))
(fp_line (start 8.4 4) (end 9.4 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp b6136f53-a8fd-475d-b2f3-a455a424af24))
(fp_line (start 10.2 -1.2) (end 10.2 -3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp a829c677-e5fb-49a8-bd13-c233aeb5fba9))
(fp_line (start 10.2 1) (end 9.6 -1.4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 4f9fa9b1-f146-45a5-851c-78dba81c0713))
(fp_line (start 10.2 1) (end 10.2 3)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 45524b3d-2245-4c38-b88a-f05a00cef589))
(fp_line (start 10.8 4) (end 12.3 4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 15a13539-b261-4806-9d98-45d59c8841c3))
(fp_line (start 12.3 -4) (end 10.8 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp c93fca1c-bd3c-4736-927a-d2d6d19d9db5))
(fp_line (start 12.3 4) (end 12.3 -4)
(stroke (width 0.12) (type default)) (layer "F.SilkS") (tstamp 2a21e6e9-8156-414c-bcbc-087cf49f842d))
(fp_circle (center -11.6 4.8) (end -11.317157 4.8)
(stroke (width 0.4) (type default)) (fill none) (layer "F.SilkS") (tstamp 361d03e7-bf48-4424-aa84-996660e1c9ff))
(fp_circle (center -10.2 -1.2) (end -10 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 52aedd96-bf04-47b1-805e-bb239efeb662))
(fp_circle (center -10.2 1) (end -10 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 4d203b3d-1632-494f-a694-7f7d92dba04b))
(fp_circle (center -7.6 -1.2) (end -7.4 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp a91916b9-0b3c-49ea-a02e-915b57cd1d22))
(fp_circle (center -7.6 1) (end -7.4 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 407e74c8-35cf-4bbb-b11b-b00b88c622e5))
(fp_circle (center -5 -1.2) (end -4.8 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp fcd2e964-e611-46e5-93a9-24039b107467))
(fp_circle (center -5 1) (end -4.8 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 0ada3ab1-a383-44d4-9828-2021a306c038))
(fp_circle (center -2.6 -1.2) (end -2.4 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp d9ad7655-d61f-44de-8357-84042bfd8d3b))
(fp_circle (center -2.6 1) (end -2.4 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp f28949c2-0248-4bd4-8560-49525cc84c18))
(fp_circle (center 0 -1.2) (end 0.2 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 498aa09f-0975-4d99-b36f-bf2d61ce2a81))
(fp_circle (center 0 1) (end 0.2 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp fc60f785-541c-457c-b2cc-54fdde2559f9))
(fp_circle (center 2.6 -1.2) (end 2.8 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 55e8ec04-aba2-4192-8048-03db24a8c1f1))
(fp_circle (center 2.6 1) (end 2.8 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp cee57b0d-4da7-45db-b294-d25a130987fe))
(fp_circle (center 5 -1.2) (end 5.2 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 8576f151-d840-4db9-b119-b6ee159b70df))
(fp_circle (center 5 1) (end 5.2 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp c0c16fc7-11d3-4554-946c-9beee3ba218d))
(fp_circle (center 7.6 -1.2) (end 7.8 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 4c72a4bc-dcbc-4531-9360-9a77b58fe03c))
(fp_circle (center 7.6 1) (end 7.8 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp beb1c329-b9bf-45f9-820f-4d57e1e7cafe))
(fp_circle (center 10.2 -1.2) (end 10.4 -1.2)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 875df8eb-3f06-4286-848f-13561b0c82b2))
(fp_circle (center 10.2 1) (end 10.4 1)
(stroke (width 0.25) (type default)) (fill none) (layer "F.SilkS") (tstamp 7a98004b-6c5b-466f-b6cb-655296a06af0))
(fp_line (start -13 -4.5) (end -13 4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 3ef6daf5-4144-4a75-bc9a-f52f0f132378))
(fp_line (start -13 4.5) (end -11 4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 2d1de330-8b9b-4c20-a242-d1276f19c185))
(fp_line (start -11 -6) (end -11 -4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 27fc4084-86f9-4a8c-9aa4-cafef02ab8c8))
(fp_line (start -11 -4.5) (end -13 -4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 33b8c966-8cec-4703-8dcf-ece2553c3e83))
(fp_line (start -11 4.5) (end -11 6)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 2f5e156d-da79-42d3-ad39-9caeb4ec643e))
(fp_line (start -11 6) (end 11 6)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 3fc48e98-f3da-48fb-b0e1-595c2ad972fb))
(fp_line (start 11 -6) (end -11 -6)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 224c664d-8a41-4475-afc0-ceb09f708089))
(fp_line (start 11 -4.5) (end 11 -6)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 613c02d7-6353-454f-b262-dfbbc0ea89e6))
(fp_line (start 11 4.5) (end 13 4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 4248a9a6-1aa4-408e-afed-a3ee2f419f39))
(fp_line (start 11 6) (end 11 4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 3c04c99b-35f3-42dc-bcea-42bf0477ca3b))
(fp_line (start 13 -4.5) (end 11 -4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 340d2ae3-f6f9-4485-9d42-8a67100e5ab2))
(fp_line (start 13 4.5) (end 13 -4.5)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 4d27c8db-a34f-4eef-a13c-464f3d30ec11))
(fp_line (start -13 -4.5) (end -13 4.5)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 2b8b7be6-26ea-4e75-96d9-d5d7bee50f41))
(fp_line (start -13 4.5) (end 13 4.5)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 4cf23cc6-f70b-478b-823d-e21a2584c71d))
(fp_line (start 13 -4.5) (end -13 -4.5)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 68682eef-428e-4e19-870c-a0bb886ef1ec))
(fp_line (start 13 4.5) (end 13 -4.5)
(stroke (width 0.1) (type default)) (layer "F.Fab") (tstamp 7115c8d8-e60d-45a5-b798-e02c6b6176fd))
(pad "1" smd roundrect (at -10.16 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 84454540-39b9-4c7e-9fd0-f13432dfca6b))
(pad "2" smd roundrect (at -7.62 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 1e72000b-7b08-44ca-a9ac-e3f191a3bb39))
(pad "3" smd roundrect (at -5.08 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 0274514c-3a42-4077-8d85-51d282190935))
(pad "4" smd roundrect (at -2.54 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 16464584-db14-47c2-b58c-755dc695bafc))
(pad "5" smd roundrect (at 0 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 6d2aa7b5-eccc-49a1-ab39-b0f615bba568))
(pad "6" smd roundrect (at 2.54 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 069cd0db-6518-4a29-91c7-de42d2767095))
(pad "7" smd roundrect (at 5.08 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 9e9ac8ee-dd17-44da-b72d-56daab26ea45))
(pad "8" smd roundrect (at 7.62 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 5b12b30a-b2b9-4b40-b3e4-1413ec1afc71))
(pad "9" smd roundrect (at 10.16 4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 5abbde57-9e10-4c6c-9f51-f83a1ade9c5e))
(pad "10" smd roundrect (at 10.16 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 93d5653b-b0f2-495b-aac6-0e94595c6cea))
(pad "11" smd roundrect (at 7.62 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp e726ebc0-5061-4a66-b13b-394e6dbe57c8))
(pad "12" smd roundrect (at 5.08 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 13e0aab4-4d9b-45d9-b35b-e7367657ee73))
(pad "13" smd roundrect (at 2.54 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 00b65496-5406-4863-806b-c4335d46f964))
(pad "14" smd roundrect (at 0 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 290b7c83-6c70-4d6d-a9da-887ea41a4138))
(pad "15" smd roundrect (at -2.54 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp d2fc39f7-a255-45c7-9351-98715c95d789))
(pad "16" smd roundrect (at -5.08 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp b8ff850b-d465-42ff-bb02-0032a0c805ce))
(pad "17" smd roundrect (at -7.62 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp cca07145-bf55-4a46-b121-8d4783158c68))
(pad "18" smd roundrect (at -10.16 -4.3 90) (size 2.44 1.13) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.1) (tstamp 6f6bdb43-9f7a-4db8-829a-ab5fcb3e57f0))
)

View File

@ -0,0 +1,108 @@
(footprint "418121270808" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "Wurth Small Compact SMT with Raised Actuator 2.54 mm,8poles")
(tags "DIP switch")
(attr smd)
(fp_text reference "REF**" (at 13.512 -3.0764) (layer "F.SilkS")
(effects (font (size 0.64 0.64) (thickness 0.15)))
(tstamp ad1a1fe2-5d8b-4d6e-8e82-ee17b04caa38)
)
(fp_text value "418121270808" (at 16.2868 -1.7764) (layer "F.Fab")
(effects (font (size 0.64 0.64) (thickness 0.15)))
(tstamp 28ce5093-e04d-443d-9cea-dd1e889c5124)
)
(fp_text user "${REFERENCE}" (at -5.2 0.4 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify left bottom))
(tstamp 56939241-aac5-4b4b-a74d-367cab4f6ef3)
)
(fp_line (start -10.73 -3.2) (end -10.73 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp b255bf29-3b11-486f-8545-e14869415295))
(fp_line (start -10.73 3.2) (end -9.79 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp b73a1708-6573-41f6-b48b-aec90ee5e85c))
(fp_line (start -9.79 -3.2) (end -10.73 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 3de25840-a7ee-4bfc-8c1d-156be7fc4c7e))
(fp_line (start -8.02 3.2) (end -7.22 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c6e44d87-f12c-4f3c-9bbe-e0b4bc768afe))
(fp_line (start -7.22 -3.2) (end -8.02 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp ed0b9b1e-58a5-4dc9-8052-e403c26b4ca0))
(fp_line (start -5.48 3.2) (end -4.68 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 43ba718d-0176-415b-8fd8-01b2b282cc13))
(fp_line (start -4.68 -3.2) (end -5.48 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 2652f2b0-c5c9-4538-b675-363b60cce2f7))
(fp_line (start -2.94 3.2) (end -2.14 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 9e108f9c-8450-4b8e-b560-ae21288a1548))
(fp_line (start -2.14 -3.2) (end -2.94 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 0a9d4991-86e6-4274-a547-86b86b4b065e))
(fp_line (start -0.4 3.2) (end 0.4 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f2741d29-67f6-4baa-b305-dc793674a16f))
(fp_line (start 0.4 -3.2) (end -0.4 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 5b68b83a-d917-4f56-a924-506c353b5d49))
(fp_line (start 2.14 3.2) (end 2.94 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp f7966e70-41de-4f5f-a500-c3ed7d8eef52))
(fp_line (start 2.94 -3.2) (end 2.14 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 59d7d23e-3cb6-4f09-bf99-8fe31779ec5b))
(fp_line (start 4.68 3.2) (end 5.48 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 40dcef3d-1bb7-4fc9-99b4-7c2f6c7fc675))
(fp_line (start 5.48 -3.2) (end 4.68 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c897e70e-9649-42a9-91ce-a8a0dd96144a))
(fp_line (start 7.22 3.2) (end 8.02 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp a70960e2-e99c-4d1e-91d0-d339b2b6f1a9))
(fp_line (start 8.02 -3.2) (end 7.22 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 8639bff6-a6b0-4b21-bdc4-16b40d3a04a9))
(fp_line (start 9.79 -3.2) (end 10.73 -3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 0e8d3516-0cab-4f4a-9b4d-d54c06344523))
(fp_line (start 10.73 -3.2) (end 10.73 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 920681e7-7a5e-47b7-b9a8-3adaef6c7324))
(fp_line (start 10.73 3.2) (end 9.79 3.2)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 3de29a23-d00b-4145-b60b-9b19e4651f84))
(fp_circle (center -9.39 2.7) (end -9.29 2.7)
(stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp ecb0e48d-e0a4-4124-a4f5-e475c3a21f78))
(fp_line (start -11.25 -3.4) (end -9.67 -3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 541b234e-4c08-4a0e-b124-95fdb27cce5f))
(fp_line (start -11.25 3.4) (end -11.25 -3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp d2793766-5437-4694-9b20-07a10e8a8ac2))
(fp_line (start -9.67 -5.7) (end 9.67 -5.7)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp ab859cb2-214b-4b29-994d-3c80a2a0e9ed))
(fp_line (start -9.67 -3.4) (end -9.67 -5.7)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp ed279970-5ee6-4e51-adb0-3d126f14f21d))
(fp_line (start -9.67 3.4) (end -11.25 3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 5ec39d3a-f249-4123-a120-79631527967c))
(fp_line (start -9.67 5.7) (end -9.67 3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp c20f8fc3-097a-46cd-ba5c-ff14d216484a))
(fp_line (start 9.67 -5.7) (end 9.67 -3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 6dcb274e-1074-401f-8b93-385ec9ae97c0))
(fp_line (start 9.67 -3.4) (end 11.25 -3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 03ac4267-ee60-4b5d-a403-610bebc8ac05))
(fp_line (start 9.67 3.4) (end 9.67 5.7)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp ae375911-c019-4ccd-b68b-cbaaff8f06b7))
(fp_line (start 9.67 5.7) (end -9.67 5.7)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 70f17a48-bd87-4fd9-8efc-3e2c3f0cfc8e))
(fp_line (start 11.25 -3.4) (end 11.25 3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 74445b2e-e1bf-487c-985a-db11989cfcc7))
(fp_line (start 11.25 3.4) (end 9.67 3.4)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 4e0e1325-2f85-458a-a807-3e9b09d902c9))
(fp_line (start -10.63 -3.1) (end 10.63 -3.1)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f5c9bf7f-ca60-4630-ad75-0942be0d3f37))
(fp_line (start -10.63 3.1) (end -10.63 -3.1)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34d7a22a-8bae-4be8-96d1-b29b97e1f652))
(fp_line (start 10.63 -3.1) (end 10.63 3.1)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 2897905f-1792-4020-8131-dd03fe3c0eb2))
(fp_line (start 10.63 3.1) (end -10.63 3.1)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 287937fc-2a7b-4897-ae37-a7adc188436a))
(pad "1" smd rect (at -8.89 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp df6de85e-3fe9-4b4a-a9d1-ef7749610f2e))
(pad "2" smd rect (at -6.35 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 99c581a4-04d2-4d56-969a-fd3ef12276e5))
(pad "3" smd rect (at -3.81 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9278a186-fb30-4e70-85f2-0eca9ef3e089))
(pad "4" smd rect (at -1.27 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0ba72233-99ad-4ec7-9b62-8969479c6c48))
(pad "5" smd rect (at 1.27 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 4b638d4a-a5aa-4fd4-b0f5-96867fd04ca6))
(pad "6" smd rect (at 3.81 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 08f5a3e9-0afe-422f-bee4-e01852c92216))
(pad "7" smd rect (at 6.35 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e4381e3e-e3a7-4cf9-92eb-e873ca8576c5))
(pad "8" smd rect (at 8.89 4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp c21c8b17-2c6e-4613-b8a3-d7a24d0e987e))
(pad "9" smd rect (at 8.89 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 9ac9df8f-bec8-4e2a-887d-d2fb860eaf5e))
(pad "10" smd rect (at 6.35 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp e17592b5-7c54-4d2c-bc96-7d0f0df4ab78))
(pad "11" smd rect (at 3.81 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 083be566-3e7f-4ff2-8579-7094dd3b2285))
(pad "12" smd rect (at 1.27 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0b1be1f9-ca43-49c3-9765-add57749a190))
(pad "13" smd rect (at -1.27 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 0da32744-d591-4949-b3e0-cf16754c8b7b))
(pad "14" smd rect (at -3.81 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 42aef306-8ed2-4350-a73d-6765ca88ba7a))
(pad "15" smd rect (at -6.35 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp aefae8ed-6d9c-42c6-9e89-b35d53076507))
(pad "16" smd rect (at -8.89 -4.4) (size 1.1 2.2) (layers "F.Cu" "F.Paste" "F.Mask") (tstamp 7dc970dd-4853-4548-a73e-2b49c96a68f0))
)

View File

@ -0,0 +1,51 @@
(footprint "434121025816" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "<b>WS-TASV</B><BR>SMT Tact Switch 6.0x3.8 mm,2 pins")
(attr smd)
(fp_text reference "REF**" (at -0.2136 -3.4943) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 6595e974-f44b-4fb3-b7dc-ed46f2c50569)
)
(fp_text value "434121025816" (at 1 3.1) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp 2e90bc8b-6532-4793-9606-9aa60fa4aae8)
)
(fp_text user "${REFERENCE}" (at -2.3 0.5 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify left bottom))
(tstamp b15100bd-c94d-47a2-b79b-8255e0e2dffa)
)
(fp_line (start -3.1 -2.05) (end 3.1 -2.05)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 7f7610ed-ed3a-439b-add9-995bb5320b89))
(fp_line (start -3.1 -1.1) (end -3.1 -2.05)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp c796b2a8-5c4e-465c-9e69-fd164ac72e73))
(fp_line (start -3.1 1.1) (end -3.1 2.05)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 94efc212-a05d-44a0-adc5-189cf6b7baae))
(fp_line (start -3.1 2.05) (end 3.1 2.05)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 7485d18a-34b5-4af8-89e5-99435b1783b3))
(fp_line (start 3.1 -2.05) (end 3.1 -1.1)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 2f43abb3-14f7-4da1-87dc-8a3e46a92ee9))
(fp_line (start 3.1 2.05) (end 3.1 1.1)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 8fbc7fe1-8c3d-423a-820d-21a31aa24d6f))
(fp_circle (center -2.6 -1.2) (end -2.5 -1.2)
(stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp d6446b17-9515-412e-8e6a-91aad7af8282))
(fp_line (start -4.25 -2.25) (end -4.25 2.25)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 345741bc-2e78-4cac-8cab-80127e49154a))
(fp_line (start -4.25 2.25) (end 4.15 2.25)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp f20ccbab-79a0-4fac-ae3e-d188efe5c9fc))
(fp_line (start 4.15 -2.25) (end -4.25 -2.25)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 3e698762-e142-4261-99fe-41334b275a75))
(fp_line (start 4.15 2.25) (end 4.15 -2.25)
(stroke (width 0.12) (type default)) (layer "F.CrtYd") (tstamp 2ad443b4-b3b7-4cf8-b5f0-41ef097c2197))
(fp_line (start -3 -1.95) (end -3 1.95)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f61b51ab-555b-4c86-a6f1-2237be7d3b1d))
(fp_line (start -3 1.95) (end 3 1.95)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 58c0212a-7f09-4d2a-bc0b-4eeaee96f089))
(fp_line (start 3 -1.95) (end -3 -1.95)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a4db9d88-2301-4ed7-b5e7-34c9ac64e539))
(fp_line (start 3 1.95) (end 3 -1.95)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7d90f135-c5f4-463d-aba3-b8b48518f15b))
(pad "1" smd rect (at -3.25 0) (size 1.5 1.4) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp db71d206-f146-4f61-b872-6c19c4a848c1))
(pad "2" smd rect (at 3.25 0) (size 1.5 1.4) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp fbc778fe-18cb-4cf4-8e9d-2625eea810d2))
)

View File

@ -0,0 +1,569 @@
(footprint "632723130112" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "<b>WR-COM</b><br> USB 3.1 Type C Receptacle Horizontal Mid-Mount THR / SMT 1.6 mm")
(attr through_hole)
(fp_text reference "REF**" (at -2.1 -5.8) (layer "F.SilkS")
(effects (font (size 0.64 0.64) (thickness 0.15)))
(tstamp 1b78bfbd-a3c0-4938-84f6-595b5cd3cc24)
)
(fp_text value "632723130112" (at 0 1) (layer "F.Fab")
(effects (font (size 0.64 0.64) (thickness 0.15)))
(tstamp ce2d2696-fd67-42b5-84e9-346b5a2f9246)
)
(fp_text user "A1" (at -3.3 -4.5 90) (layer "F.SilkS")
(effects (font (size 0.32 0.32) (thickness 0.08)))
(tstamp 4ad9ba01-df57-4ad9-8653-0d919ce5f42d)
)
(fp_text user "B1" (at 3.5 -4.1) (layer "F.SilkS")
(effects (font (size 0.32 0.32) (thickness 0.08)))
(tstamp ae50fa35-a678-4e82-b6fa-09af552f92bf)
)
(fp_text user "PCB Cutout Area" (at 0.1 -1.5) (layer "Dwgs.User")
(effects (font (size 0.48 0.48) (thickness 0.12)))
(tstamp 27e7c7bd-b5fd-4f58-8d31-91d494f761cc)
)
(fp_text user "Milling Layer the hole size should follow the Milling Layer" (at 7.5 1.5 90) (layer "Dwgs.User")
(effects (font (size 0.2 0.2) (thickness 0.05)))
(tstamp 2954ffe5-69ac-4665-8eb9-26a29d0adad1)
)
(fp_text user "PCB END" (at -1.76 3.075) (layer "Dwgs.User")
(effects (font (size 0.32 0.32) (thickness 0.08)))
(tstamp 42cd50a1-72f8-400f-9003-9933ef66e885)
)
(fp_text user "PCB cut out Area" (at 3.44 -2.625) (layer "Dwgs.User")
(effects (font (size 0.1 0.1) (thickness 0.025)))
(tstamp e09bd36c-1921-4915-b864-2e6f1215233f)
)
(fp_text user "PCB Cutout Area" (at 0.1 -1.5) (layer "Edge.Cuts")
(effects (font (size 0.48 0.48) (thickness 0.12)))
(tstamp 4b5340ee-1613-41f7-90c8-8d3c9a2a5fba)
)
(fp_line (start -5.6 2.485) (end 5.6 2.485)
(stroke (width 0.1) (type solid)) (layer "F.SilkS") (tstamp 763b58a4-ab0d-4716-903a-3adc457f4d04))
(fp_poly
(pts
(xy 3.575 -3.19)
(xy 3.531 -3.188)
(xy 3.487 -3.181)
(xy 3.444 -3.169)
(xy 3.402 -3.153)
(xy 3.363 -3.133)
(xy 3.325 -3.109)
(xy 3.291 -3.081)
(xy 3.259 -3.049)
(xy 3.231 -3.015)
(xy 3.207 -2.977)
(xy 3.187 -2.938)
(xy 3.171 -2.896)
(xy 3.159 -2.853)
(xy 3.152 -2.809)
(xy 3.15 -2.765)
(xy 3.152 -2.721)
(xy 3.159 -2.677)
(xy 3.171 -2.634)
(xy 3.187 -2.592)
(xy 3.207 -2.552)
(xy 3.231 -2.515)
(xy 3.259 -2.481)
(xy 3.291 -2.449)
(xy 3.325 -2.421)
(xy 3.362 -2.397)
(xy 3.402 -2.377)
(xy 3.444 -2.361)
(xy 3.487 -2.349)
(xy 3.531 -2.342)
(xy 3.575 -2.34)
(xy 3.875 -2.34)
(xy 3.919 -2.342)
(xy 3.963 -2.349)
(xy 4.006 -2.361)
(xy 4.048 -2.377)
(xy 4.088 -2.397)
(xy 4.125 -2.421)
(xy 4.159 -2.449)
(xy 4.191 -2.481)
(xy 4.219 -2.515)
(xy 4.243 -2.552)
(xy 4.263 -2.592)
(xy 4.279 -2.634)
(xy 4.291 -2.677)
(xy 4.298 -2.721)
(xy 4.3 -2.765)
(xy 4.298 -2.809)
(xy 4.291 -2.853)
(xy 4.279 -2.896)
(xy 4.263 -2.938)
(xy 4.243 -2.977)
(xy 4.219 -3.015)
(xy 4.191 -3.049)
(xy 4.159 -3.081)
(xy 4.125 -3.109)
(xy 4.088 -3.133)
(xy 4.048 -3.153)
(xy 4.006 -3.169)
(xy 3.963 -3.181)
(xy 3.919 -3.188)
(xy 3.875 -3.19)
(xy 3.575 -3.19)
)
(stroke (width 0.1) (type solid)) (fill solid) (layer "F.Mask") (tstamp 4508f115-5df1-4972-9c37-ba2b039452cc))
(fp_line (start 6.4 1.2) (end 7.1 1.2)
(stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp 5cbfb5d7-e38e-4ef8-b6d0-409fb68c4558))
(fp_line (start 7.1 1.2) (end 7.5 1.6)
(stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp eec7762d-294e-41ac-ad83-6f6ffbb1920e))
(fp_line (start 7.5 1.6) (end 7.3 1.6)
(stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp f500ae4b-7dc5-4dc0-9e40-000279fb67ac))
(fp_line (start 7.5 1.6) (end 7.5 1.4)
(stroke (width 0.1) (type solid)) (layer "Dwgs.User") (tstamp 3a97457d-ba75-431c-9073-d9c07cc9a5ad))
(fp_poly
(pts
(xy -4 -3.975)
(xy -4.002 -3.921)
(xy -4.009 -3.87)
(xy -4.022 -3.819)
(xy -4.04 -3.77)
(xy -4.063 -3.723)
(xy -4.09 -3.678)
(xy -4.123 -3.637)
(xy -4.159 -3.599)
(xy -4.199 -3.566)
(xy -4.242 -3.536)
(xy -4.289 -3.512)
(xy -4.337 -3.492)
(xy -4.388 -3.478)
(xy -4.439 -3.469)
(xy -4.491 -3.465)
(xy -4.544 -3.467)
(xy -4.595 -3.474)
(xy -4.646 -3.487)
(xy -4.695 -3.505)
(xy -4.742 -3.528)
(xy -4.787 -3.555)
(xy -4.828 -3.588)
(xy -4.866 -3.624)
(xy -4.899 -3.664)
(xy -4.929 -3.707)
(xy -4.953 -3.754)
(xy -4.973 -3.802)
(xy -4.987 -3.853)
(xy -4.996 -3.904)
(xy -5 -3.955)
(xy -5 -4.555)
(xy -4.998 -4.609)
(xy -4.991 -4.66)
(xy -4.978 -4.711)
(xy -4.96 -4.76)
(xy -4.937 -4.807)
(xy -4.91 -4.852)
(xy -4.877 -4.893)
(xy -4.841 -4.931)
(xy -4.801 -4.964)
(xy -4.758 -4.994)
(xy -4.711 -5.018)
(xy -4.663 -5.038)
(xy -4.612 -5.052)
(xy -4.561 -5.061)
(xy -4.509 -5.065)
(xy -4.456 -5.063)
(xy -4.405 -5.056)
(xy -4.354 -5.043)
(xy -4.305 -5.025)
(xy -4.258 -5.002)
(xy -4.213 -4.975)
(xy -4.172 -4.942)
(xy -4.134 -4.906)
(xy -4.101 -4.866)
(xy -4.071 -4.823)
(xy -4.047 -4.776)
(xy -4.027 -4.728)
(xy -4.013 -4.677)
(xy -4.004 -4.626)
(xy -4 -4.575)
(xy -4 -3.975)
)
(stroke (width 0.01) (type solid)) (fill solid) (layer "Dwgs.User") (tstamp 850b0f0b-97c6-4b51-b3a2-c01813f3136b))
(fp_poly
(pts
(xy -3.29 -3.14)
(xy -3.323 -3.141)
(xy -3.356 -3.145)
(xy -3.39 -3.152)
(xy -3.422 -3.164)
(xy -3.453 -3.178)
(xy -3.482 -3.195)
(xy -3.509 -3.216)
(xy -3.534 -3.239)
(xy -3.556 -3.265)
(xy -3.576 -3.293)
(xy -3.592 -3.322)
(xy -3.606 -3.354)
(xy -3.615 -3.386)
(xy -3.622 -3.42)
(xy -3.625 -3.454)
(xy -3.624 -3.488)
(xy -3.62 -3.521)
(xy -3.613 -3.555)
(xy -3.601 -3.587)
(xy -3.587 -3.618)
(xy -3.57 -3.647)
(xy -3.549 -3.674)
(xy -3.526 -3.699)
(xy -3.5 -3.721)
(xy -3.472 -3.741)
(xy -3.443 -3.757)
(xy -3.411 -3.771)
(xy -3.379 -3.78)
(xy -3.345 -3.787)
(xy -3.31 -3.79)
(xy -2.81 -3.79)
(xy -2.777 -3.789)
(xy -2.744 -3.785)
(xy -2.71 -3.778)
(xy -2.678 -3.766)
(xy -2.647 -3.752)
(xy -2.618 -3.735)
(xy -2.591 -3.714)
(xy -2.566 -3.691)
(xy -2.544 -3.665)
(xy -2.524 -3.637)
(xy -2.508 -3.608)
(xy -2.494 -3.576)
(xy -2.485 -3.544)
(xy -2.478 -3.51)
(xy -2.475 -3.476)
(xy -2.476 -3.442)
(xy -2.48 -3.409)
(xy -2.487 -3.375)
(xy -2.499 -3.343)
(xy -2.513 -3.312)
(xy -2.53 -3.283)
(xy -2.551 -3.256)
(xy -2.574 -3.231)
(xy -2.6 -3.209)
(xy -2.628 -3.189)
(xy -2.657 -3.173)
(xy -2.689 -3.159)
(xy -2.721 -3.15)
(xy -2.755 -3.143)
(xy -2.79 -3.14)
(xy -3.29 -3.14)
)
(stroke (width 0.01) (type solid)) (fill solid) (layer "Dwgs.User") (tstamp 288ff06d-8b48-4fbb-947e-4c7aae11674b))
(fp_poly
(pts
(xy 2.81 -3.14)
(xy 2.777 -3.141)
(xy 2.744 -3.145)
(xy 2.71 -3.152)
(xy 2.678 -3.164)
(xy 2.647 -3.178)
(xy 2.618 -3.195)
(xy 2.591 -3.216)
(xy 2.566 -3.239)
(xy 2.544 -3.265)
(xy 2.524 -3.293)
(xy 2.508 -3.322)
(xy 2.494 -3.354)
(xy 2.485 -3.386)
(xy 2.478 -3.42)
(xy 2.475 -3.454)
(xy 2.476 -3.488)
(xy 2.48 -3.521)
(xy 2.487 -3.555)
(xy 2.499 -3.587)
(xy 2.513 -3.618)
(xy 2.53 -3.647)
(xy 2.551 -3.674)
(xy 2.574 -3.699)
(xy 2.6 -3.721)
(xy 2.628 -3.741)
(xy 2.657 -3.757)
(xy 2.689 -3.771)
(xy 2.721 -3.78)
(xy 2.755 -3.787)
(xy 2.79 -3.79)
(xy 3.29 -3.79)
(xy 3.323 -3.789)
(xy 3.356 -3.785)
(xy 3.39 -3.778)
(xy 3.422 -3.766)
(xy 3.453 -3.752)
(xy 3.482 -3.735)
(xy 3.509 -3.714)
(xy 3.534 -3.691)
(xy 3.556 -3.665)
(xy 3.576 -3.637)
(xy 3.592 -3.608)
(xy 3.606 -3.576)
(xy 3.615 -3.544)
(xy 3.622 -3.51)
(xy 3.625 -3.476)
(xy 3.624 -3.442)
(xy 3.62 -3.409)
(xy 3.613 -3.375)
(xy 3.601 -3.343)
(xy 3.587 -3.312)
(xy 3.57 -3.283)
(xy 3.549 -3.256)
(xy 3.526 -3.231)
(xy 3.5 -3.209)
(xy 3.472 -3.189)
(xy 3.443 -3.173)
(xy 3.411 -3.159)
(xy 3.379 -3.15)
(xy 3.345 -3.143)
(xy 3.31 -3.14)
(xy 2.81 -3.14)
)
(stroke (width 0.01) (type solid)) (fill solid) (layer "Dwgs.User") (tstamp cf41beff-8adb-462c-8b43-d3ac8d85367c))
(fp_poly
(pts
(xy 5 -3.975)
(xy 4.998 -3.921)
(xy 4.991 -3.87)
(xy 4.978 -3.819)
(xy 4.96 -3.77)
(xy 4.937 -3.723)
(xy 4.91 -3.678)
(xy 4.877 -3.637)
(xy 4.841 -3.599)
(xy 4.801 -3.566)
(xy 4.758 -3.536)
(xy 4.711 -3.512)
(xy 4.663 -3.492)
(xy 4.612 -3.478)
(xy 4.561 -3.469)
(xy 4.509 -3.465)
(xy 4.456 -3.467)
(xy 4.405 -3.474)
(xy 4.354 -3.487)
(xy 4.305 -3.505)
(xy 4.258 -3.528)
(xy 4.213 -3.555)
(xy 4.172 -3.588)
(xy 4.134 -3.624)
(xy 4.101 -3.664)
(xy 4.071 -3.707)
(xy 4.047 -3.754)
(xy 4.027 -3.802)
(xy 4.013 -3.853)
(xy 4.004 -3.904)
(xy 4 -3.955)
(xy 4 -4.555)
(xy 4.002 -4.609)
(xy 4.009 -4.66)
(xy 4.022 -4.711)
(xy 4.04 -4.76)
(xy 4.063 -4.807)
(xy 4.09 -4.852)
(xy 4.123 -4.893)
(xy 4.159 -4.931)
(xy 4.199 -4.964)
(xy 4.242 -4.994)
(xy 4.289 -5.018)
(xy 4.337 -5.038)
(xy 4.388 -5.052)
(xy 4.439 -5.061)
(xy 4.491 -5.065)
(xy 4.544 -5.063)
(xy 4.595 -5.056)
(xy 4.646 -5.043)
(xy 4.695 -5.025)
(xy 4.742 -5.002)
(xy 4.787 -4.975)
(xy 4.828 -4.942)
(xy 4.866 -4.906)
(xy 4.899 -4.866)
(xy 4.929 -4.823)
(xy 4.953 -4.776)
(xy 4.973 -4.728)
(xy 4.987 -4.677)
(xy 4.996 -4.626)
(xy 5 -4.575)
(xy 5 -3.975)
)
(stroke (width 0.01) (type solid)) (fill solid) (layer "Dwgs.User") (tstamp cd2f56ea-168a-4739-815f-e9b8c197fae1))
(fp_line (start -4.95 -2.215) (end 4.85 -2.215)
(stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 83d22355-0705-4bf2-89a9-1e90ce3b3a22))
(fp_line (start -4.75 2.485) (end -4.75 -1.715)
(stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 36b8b5cf-d93a-4019-a9fd-55e23d1928a6))
(fp_line (start 4.75 -1.715) (end 4.75 2.485)
(stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 94052361-dcf5-4d4a-84f6-fd6a3b4e4261))
(fp_arc (start -4.75 -1.715) (mid -5.1 -1.865) (end -4.95 -2.215)
(stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp d01efe5f-4891-4f1d-b4b6-d0bf25c465c6))
(fp_arc (start 4.85 -2.215) (mid 5.049999 -1.915001) (end 4.75 -1.715)
(stroke (width 0.1) (type solid)) (layer "Edge.Cuts") (tstamp 8dc08a76-03cb-4d7b-9545-7f88f98b070e))
(fp_poly
(pts
(xy -5.25 -5.465)
(xy -3.9 -5.465)
(xy -3.9 -5.165)
(xy 3.9 -5.165)
(xy 3.9 -5.465)
(xy 5.25 -5.465)
(xy 5.25 0.035)
(xy 6.8 0.035)
(xy 6.8 2.435)
(xy 4.9 2.435)
(xy 4.9 5.295)
(xy -4.9 5.295)
(xy -4.9 2.535)
(xy -6.8 2.535)
(xy -6.8 0.035)
(xy -5.25 0.035)
)
(stroke (width 0.1) (type solid)) (fill none) (layer "F.CrtYd") (tstamp c4649f87-2e27-49c8-b590-524535c937d3))
(fp_line (start -4.825 -4.995) (end -4.825 -2.005)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp f88a7de5-46c4-4c69-82f2-fa56d3811678))
(fp_line (start -4.825 -4.995) (end 4.825 -4.995)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 0a5613fc-68b8-4ccb-a8ad-23ba178d7613))
(fp_line (start -4.6 -2.015) (end -4.825 -2.005)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 5e3fea3a-4046-4758-812a-b17dd58bde6f))
(fp_line (start -4.6 2.485) (end -4.6 -2.005)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a31edbae-9bae-4a89-936d-eb3932ab72b2))
(fp_line (start -4.6 2.485) (end -4.6 4.995)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 4af9a985-8f7b-48b7-b8bb-dfcc1d00742f))
(fp_line (start -4.6 4.995) (end 4.6 4.995)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b9d6b830-1274-4553-8565-e3bdbed7e43a))
(fp_line (start -2.6 2.6) (end -2.6 2.8)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 34b2485a-8e2a-4f41-a612-f6decdfd6c45))
(fp_line (start -2.6 2.6) (end -2.4 2.6)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 75632018-63de-4507-bb78-ab47b540d579))
(fp_line (start -2.3 2.9) (end -2.6 2.6)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 7b95da5f-e09e-4d10-a10a-df462cc6b694))
(fp_line (start -1.8 2.9) (end -2.3 2.9)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 64a1e4e4-3289-41a5-911f-2948d322f4cd))
(fp_line (start 4.6 -2.005) (end 4.6 2.485)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 3ac2c5e8-47f2-4f1c-ad7c-d679f8a89ae3))
(fp_line (start 4.6 4.995) (end 4.6 2.485)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 044e8b33-6f0a-4447-a762-c355bb07b4e8))
(fp_line (start 4.825 -4.995) (end 4.825 -2.005)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 669e6773-2f05-4537-b9cb-ec0a1571c945))
(fp_line (start 4.825 -2.005) (end 4.61 -2.005)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp a7006f58-23f3-4f7d-ad17-beabd19300b6))
(fp_line (start 4.75 2.485) (end -4.75 2.485)
(stroke (width 0.01) (type solid)) (layer "User.1") (tstamp 6d0312bb-07dc-4b93-93f2-d53d3d2785ff))
(fp_line (start -6.325 1.735) (end -6.325 0.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 5b5f4436-fc03-496e-9757-561ea4353a2c))
(fp_line (start -5.825 0.735) (end -5.825 1.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 4b4b9305-739f-4986-8935-eebda4e59bae))
(fp_line (start -4.75 -3.955) (end -4.75 -4.555)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 948e89eb-4889-4d19-955f-754959b2081b))
(fp_line (start -4.25 -4.575) (end -4.25 -3.975)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp e4220313-914c-4b36-929c-7a2d8ffd026b))
(fp_line (start -3.31 -3.665) (end -2.81 -3.665)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 72fc7584-279d-4f89-a26e-d596aff0bee5))
(fp_line (start -2.79 -3.265) (end -3.29 -3.265)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 15714ca9-1c07-449b-afc1-9cc828648cac))
(fp_line (start 4.25 -3.955) (end 4.25 -4.555)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 8fff8d07-ac3d-45f4-921d-efe856ccc925))
(fp_line (start 4.75 -4.575) (end 4.75 -3.975)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 6f770eb6-2a18-4e46-b005-e381ee7b605a))
(fp_line (start 5.825 1.735) (end 5.825 0.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 14de0b39-cc8c-4388-a68d-e017c85bd019))
(fp_line (start 6.325 0.735) (end 6.325 1.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp f4004eb8-d6b8-4c84-90d4-78d7ffdab401))
(fp_arc (start -6.325 0.735) (mid -6.075 0.485) (end -5.825 0.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 3abd01cf-6f20-4fb0-bb49-1fb4eb706d91))
(fp_arc (start -5.825 1.735) (mid -6.075 1.985) (end -6.325 1.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp c05e5187-777a-44da-a738-fce93ee13327))
(fp_arc (start -4.75 -4.555) (mid -4.51 -4.815) (end -4.25 -4.575)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp a06a8e78-6aaa-463b-8aba-f02ae9419daa))
(fp_arc (start -4.25 -3.975) (mid -4.49 -3.715) (end -4.75 -3.955)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 8047ac33-895b-443e-a78f-8c35945fc064))
(fp_arc (start -3.29 -3.265) (mid -3.5 -3.455) (end -3.31 -3.665)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp e5a6159a-f03e-4b6a-ad10-5ce9d2654a3d))
(fp_arc (start -2.81 -3.665) (mid -2.6 -3.475) (end -2.79 -3.265)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 971bc2f0-b362-4e56-8658-3cd1350e6c30))
(fp_arc (start 4.25 -4.555) (mid 4.49 -4.815) (end 4.75 -4.575)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp f5991dab-1afa-4c0e-8aa6-9222d425f698))
(fp_arc (start 4.75 -3.975) (mid 4.51 -3.715) (end 4.25 -3.955)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp e64ccf94-58db-4ee1-bf26-46a0b73f8af5))
(fp_arc (start 5.825 0.735) (mid 6.075 0.485) (end 6.325 0.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 36b8b24d-621a-4692-be62-e89f0d1f14d8))
(fp_arc (start 6.325 1.735) (mid 6.075 1.985) (end 5.825 1.735)
(stroke (width 0.01) (type solid)) (layer "User.3") (tstamp 9e13a1e8-06cb-4f76-8261-3e9e94eb6b33))
(pad "" np_thru_hole circle (at -3.725 -2.765) (size 0.65 0.65) (drill 0.65) (layers "*.Cu" "*.Mask") (tstamp 414359c7-d0e8-4040-878d-830b9c55f65f))
(pad "" np_thru_hole oval (at 3.725 -2.765) (size 1 0.7) (drill oval 0.95 0.65) (layers "F&B.Cu" "*.Mask") (tstamp cc1dc92d-36cc-47e9-9025-5e673e617776))
(pad "A1" smd rect (at -2.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 0dead2d8-1c1b-498e-bfd8-a6b34a261847))
(pad "A2" smd rect (at -2.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp e6b9296f-e5e0-42c4-b472-c1670ffcc583))
(pad "A3" smd rect (at -1.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 4807a98d-9148-4e2e-9718-a8229a71843c))
(pad "A4" smd rect (at -1.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 71b5070d-11d0-493e-8556-fc05edb9aa74))
(pad "A5" smd rect (at -0.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 995b87d7-38d7-4d8e-a80a-31830a3109d4))
(pad "A6" smd rect (at -0.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp cff0f0a6-156b-44fb-b0a2-9e98c59e4f69))
(pad "A7" smd rect (at 0.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 43455869-4d1e-4d33-8bb3-ad996b5b6033))
(pad "A8" smd rect (at 0.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 366b377f-291b-40eb-9d40-e2c065b869e7))
(pad "A9" smd rect (at 1.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 5329576b-0ea6-4c73-9ddf-ce7de0bfa657))
(pad "A10" smd rect (at 1.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 2723a875-bd88-46ac-8ec1-a013f0a7ae57))
(pad "A11" smd rect (at 2.25 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 89b4cccf-6014-40cc-a29a-5909ed79a0c6))
(pad "A12" smd rect (at 2.75 -4.625) (size 0.35 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 422984fd-1c31-408d-9448-aca6a3b61157))
(pad "B1" thru_hole oval (at 3.05 -3.465) (size 1.1 0.6) (drill oval 0.9 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.15) (tstamp 26469737-52d6-43fc-b06a-9c87a00ba8c7))
(pad "B2" thru_hole circle (at 2.4 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp c2830fb3-ac2b-4dc9-8e3e-a7361b76c2d7))
(pad "B3" thru_hole circle (at 1.6 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 7b3ae8a3-25ad-4cf5-9a98-358e9a175890))
(pad "B4" thru_hole circle (at 1.2 -3.465) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 1e911049-a019-4427-b47b-4b90f9c72eaa))
(pad "B5" thru_hole circle (at 0.8 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp b9e3934c-1a03-4bd5-9000-0bd9f2b7781b))
(pad "B6" thru_hole circle (at 0.4 -3.465) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 8300c39d-c386-4b85-8252-323ef47fc38e))
(pad "B7" thru_hole circle (at -0.4 -3.465) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 7879f6ce-24d8-43ff-a604-fb11c46a62b9))
(pad "B8" thru_hole circle (at -0.8 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp ef30d539-253f-4b28-93f5-636a9c257c08))
(pad "B9" thru_hole circle (at -1.2 -3.465) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 37cf3de0-9060-4804-894b-900580870e1f))
(pad "B10" thru_hole circle (at -1.6 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 95decc9e-96b9-4520-aca0-1645e62c2f64))
(pad "B11" thru_hole circle (at -2.4 -2.765) (size 0.65 0.65) (drill 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp 148af169-cf72-4b23-8042-57a36046b6a3))
(pad "B12" thru_hole oval (at -3.05 -3.465) (size 1.1 0.6) (drill oval 0.9 0.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.15) (tstamp 3ec39637-4f52-4b89-ba5f-955d55131b16))
(pad "S1" thru_hole oval (at -6.075 1.235) (size 1 2) (drill oval 0.5 1.5) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.1) (tstamp 96c5c8ee-a966-4d73-869f-2a74b907d65c))
(pad "S1" thru_hole oval (at -4.5 -4.265) (size 1 2) (drill oval 0.5 1.5) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.1) (tstamp 7579bda1-bc0e-4830-996e-a810e8823197))
(pad "S2" thru_hole oval (at 4.5 -4.265) (size 1 2) (drill oval 0.5 1.5) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.1) (tstamp 628a18f5-256e-4d70-9358-11b58fa4a085))
(pad "S4" thru_hole oval (at 6.075 1.235) (size 1 2) (drill oval 0.5 1.5) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.1) (tstamp c8c3008d-ef8b-48b3-ac1d-9527a11d8152))
(zone (net 0) (net_name "") (layers "*.Cu" "*.Paste" "*.SilkS" "*.Mask" "Edge.Cuts") (tstamp 80b65e20-1c57-4435-a831-ebcabf153fd1) (name "USBCUTOUT") (hatch edge 0.5)
(connect_pads (clearance 0))
(min_thickness 0.25) (filled_areas_thickness no)
(keepout (tracks not_allowed) (vias not_allowed) (pads not_allowed) (copperpour not_allowed) (footprints allowed))
(fill (thermal_gap 0.5) (thermal_bridge_width 0.5))
(polygon
(pts
(xy -4.6 -2.1)
(xy 4.4 -2.1)
(xy 4.4 2.8)
(xy -4.6 2.8)
)
)
)
(model "${KIPRJMOD}/tinytapeout-kicad-libs/3dmodels/632723130112.step"
(offset (xyz 0 -0.5 0.3))
(scale (xyz 1 1 1))
(rotate (xyz 90 0 180))
)
)

View File

@ -0,0 +1,64 @@
(footprint "CUI_UJC-HP-3-SMT-TR" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(descr "USB Connectors USB jack, C type, power only, 6 pin, horizonal, gold flash plating, surface mount, T&R\n")
(tags "USB-C, Power, USB")
(attr smd)
(fp_text reference "REF**" (at -3.5 -1.8) (layer "F.SilkS")
(effects (font (size 0.787402 0.787402) (thickness 0.15)))
(tstamp fcf8be7f-56e1-4fac-9032-845fd53b875e)
)
(fp_text value "CUI_UJC-HP-3-SMT-TR" (at 0.1 7.3) (layer "F.Fab")
(effects (font (size 0.787402 0.787402) (thickness 0.15)))
(tstamp 9fbf1a9c-7de6-4422-98b2-28bfd82f4e2e)
)
(fp_text user "${REFERENCE}" (at -2.8 3.2 unlocked) (layer "F.Fab")
(effects (font (size 1 1) (thickness 0.15)) (justify left bottom))
(tstamp 494a1375-2afb-4904-85b6-fca45fbcd200)
)
(fp_line (start -4.47 2.45) (end -4.47 1.35)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 92f69cf0-af3b-4129-bdc9-1cf73908efbb))
(fp_line (start -4.47 6.4) (end -4.47 5.15)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp 2c95434e-c5c2-43ec-8099-2c30b4d5895d))
(fp_line (start -4.47 6.4) (end 4.47 6.4)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp f5f76f6f-194b-467b-97ef-e076c3f63340))
(fp_line (start 4.47 2.45) (end 4.47 1.35)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp bdae0ddc-3750-4389-a660-cfde291a50ee))
(fp_line (start 4.47 6.4) (end 4.47 5.15)
(stroke (width 0.127) (type solid)) (layer "F.SilkS") (tstamp a43295b0-24d7-4301-9ae7-06f2c27da71d))
(fp_line (start -5.07 -1.25) (end -5.07 6.65)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 67eda45d-afbf-481f-96ec-315074befae2))
(fp_line (start -5.07 6.65) (end 5.07 6.65)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cb91494b-0819-4faa-98ff-181d21f60ab7))
(fp_line (start 5.07 -1.25) (end -5.07 -1.25)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 7095ca62-3e31-41d0-960e-66442305dcaa))
(fp_line (start 5.07 6.65) (end 5.07 -1.25)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp c4973ee6-ceb9-46f3-8003-d41d63403874))
(fp_line (start -4.47 -0.5) (end -4.47 6.4)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 736e7acf-6d6e-467a-b67a-9a1ff1f2f1e5))
(fp_line (start -4.47 6.4) (end 4.47 6.4)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 5dbe69e3-9f3e-4058-9d03-adc08499cc05))
(fp_line (start 4.47 -0.5) (end -4.47 -0.5)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 6cdc0f74-fdd4-4bff-88f6-30b0fd7faa73))
(fp_line (start 4.47 6.4) (end 4.47 -0.5)
(stroke (width 0.127) (type solid)) (layer "F.Fab") (tstamp 4ba21e52-d148-4de2-a88c-51de9570c29c))
(pad "A5" smd rect (at -0.5 -0.005 90) (size 1.15 0.7) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp e194ec2e-14ef-4f50-b003-defbe9323d55))
(pad "A9" smd rect (at 1.52 -0.005 90) (size 1.15 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp e1cfca70-2c30-4017-a999-0fa8a42993e4))
(pad "A12" smd rect (at 2.75 -0.005 90) (size 1.15 0.9) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 5f9b5bdb-3ec5-468c-b45c-c356a2912895))
(pad "B5" smd rect (at 0.5 -0.005 90) (size 1.15 0.7) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp e8667893-0376-4f0c-acfc-25ae9fceedb4))
(pad "B9" smd rect (at -1.52 -0.005 90) (size 1.15 0.8) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp ad1fb2e4-d1b8-4107-9f24-4fa1ce185013))
(pad "B12" smd rect (at -2.75 -0.005 90) (size 1.15 0.9) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 48b96b29-0c0f-4959-a3c6-51b5a316803e))
(pad "S1" thru_hole oval (at -4.32 0) (size 1 2) (drill oval 0.5 1.2) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp f28d56d7-3fbc-448d-8cdf-066f7d3eaf3b))
(pad "S2" thru_hole oval (at 4.32 0) (size 1 2) (drill oval 0.5 1.2) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp a48b7cd5-c1a9-4768-9398-300357cabf74))
(pad "S3" thru_hole oval (at -4.32 3.8) (size 1 2) (drill oval 0.5 1.2) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp baa39a81-4e58-492b-85d0-5192368399b3))
(pad "S4" thru_hole oval (at 4.32 3.8) (size 1 2) (drill oval 0.5 1.2) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.102) (tstamp cc92a888-d455-4083-ac01-3acc173628aa))
)

View File

@ -0,0 +1,99 @@
(footprint "GCT_USB4500-03-0-A_REVA" (version 20221018) (generator pcbnew)
(layer "F.Cu")
(attr smd)
(fp_text reference "REF**" (at -4.3 -6.5) (layer "F.SilkS")
(effects (font (size 1 1) (thickness 0.15)))
(tstamp b7670d4b-3f28-456c-b590-016167e98c00)
)
(fp_text value "GCT_USB4500-03-0-A_REVA" (at 0.8 2.7) (layer "F.Fab")
(effects (font (size 1 0.6) (thickness 0.15)))
(tstamp 509c4cb8-69da-4e5f-a14d-64dc0106b4f6)
)
(fp_text user "PCB Edge" (at 7.6 1.6) (layer "F.Fab")
(effects (font (size 0.64 0.64) (thickness 0.15)))
(tstamp 090c76ab-7c15-47a9-ab9b-9c31ddd85e88)
)
(fp_text user "PCB CUTOUT" (at -3.6 -2.5 unlocked) (layer "User.4")
(effects (font (size 1 0.8) (thickness 0.15)) (justify left bottom))
(tstamp d4249fdd-76a2-4a10-9268-baf54b9ccb27)
)
(fp_line (start -4.47 1.6) (end 4.47 1.6)
(stroke (width 0.2) (type solid)) (layer "F.SilkS") (tstamp 981f0fa9-51b1-4f08-9fe7-9d0fb4b506ec))
(fp_circle (center -3.27 -6.35) (end -3.17 -6.35)
(stroke (width 0.2) (type solid)) (fill none) (layer "F.SilkS") (tstamp 1ddf56d3-167f-4841-9545-2ddd162fb53c))
(fp_line (start -4.62 1.6) (end -4.62 -4.6)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 8faf3a58-707a-46e8-8db5-b757bb7712b9))
(fp_line (start -3.82 -4.6) (end 3.82 -4.6)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp f630c03a-fc91-4c79-9e78-bd29146efb46))
(fp_line (start 4.62 -4.6) (end 4.62 1.6)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 2f35c06c-9cc2-4bf9-bb07-106bea6e2a06))
(fp_arc (start -4.619999 -4.6) (mid -4.540342 -4.867309) (end -4.295 -5)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp c4e746a4-de48-4ba6-9685-afb011cd44b7))
(fp_arc (start -4.295 -5) (mid -4.07451 -4.92731) (end -3.97 -4.72)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp f860ffdf-8afc-4545-8e6a-04d684da1ff3))
(fp_arc (start -3.820001 -4.600001) (mid -3.919852 -4.628935) (end -3.969999 -4.72)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 3d298c91-bfe6-4595-8300-354279cca2e6))
(fp_arc (start 3.969999 -4.720001) (mid 3.919852 -4.628935) (end 3.82 -4.600001)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 2431ae19-51b3-4d81-9a9c-e5c28778b56c))
(fp_arc (start 3.970002 -4.720001) (mid 4.074512 -4.927309) (end 4.295 -4.999999)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 36e2e138-c1b1-4dc4-8e10-334821b070a7))
(fp_arc (start 4.295 -5) (mid 4.540343 -4.86731) (end 4.62 -4.6)
(stroke (width 0.08) (type solid)) (layer "Edge.Cuts") (tstamp 723d1109-c990-4b06-aaf2-d66138db20a7))
(fp_line (start -6.37 -5.95) (end 6.37 -5.95)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp cbc332dc-4235-4501-a474-b17734d92f20))
(fp_line (start -6.37 2.35) (end -6.37 -5.95)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b6f4ab00-c20a-4e50-8982-8795dab88384))
(fp_line (start -6.37 2.35) (end 6.37 2.35)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp b210fa55-0cb6-4ccf-a58a-2c0892d9baab))
(fp_line (start 6.37 2.35) (end 6.37 -5.95)
(stroke (width 0.05) (type solid)) (layer "F.CrtYd") (tstamp 2a6487ba-6aa6-41df-9ec3-b5fe1c4bbc05))
(fp_line (start -6 1.6) (end 6 1.6)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 831e0d0b-0b1d-4b09-bfab-24623d3d9b60))
(fp_line (start -4.47 -4.4) (end 4.47 -4.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp 29693cbf-1905-4973-b766-0e3921bb5251))
(fp_line (start -4.47 2.1) (end -4.47 -4.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp e5fa0984-07ac-45c8-b8f6-4175bdc213cd))
(fp_line (start -4.47 2.1) (end 4.47 2.1)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp b4775875-ff96-4122-a265-a4fea343da68))
(fp_line (start 4.47 2.1) (end 4.47 -4.4)
(stroke (width 0.1) (type solid)) (layer "F.Fab") (tstamp d2d4cd72-4feb-46b4-8986-2339cfd7512c))
(fp_circle (center -3.27 -6.35) (end -3.17 -6.35)
(stroke (width 0.2) (type solid)) (fill none) (layer "F.Fab") (tstamp ae35030f-1700-4c1d-8237-16c43fe1d757))
(pad "A1_B12" smd rect (at -3.2 -5.45) (size 0.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 097d6961-a2ad-47e5-94eb-742da79f2bc1))
(pad "A4_B9" smd rect (at -2.4 -5.45) (size 0.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 86a7ce22-7b5d-4a52-96d8-ef4911089995))
(pad "A5" smd rect (at -1.25 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp a589ce59-92f9-4ffa-8937-586e7ef7ac1c))
(pad "A6" smd rect (at -0.25 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 50988811-830d-4231-9451-e3c18d36e353))
(pad "A7" smd rect (at 0.25 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 75aaf704-34b3-4eb9-ae13-c2e63a7ff45e))
(pad "A8" smd rect (at 1.25 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp bed9fca2-3136-48cc-9806-a267034a124c))
(pad "B1_A12" smd rect (at 3.2 -5.45) (size 0.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp acfb428f-4fcf-41fc-ba1b-db781a0bd793))
(pad "B4_A9" smd rect (at 2.4 -5.45) (size 0.6 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 76ab6ac7-3b6e-4d84-b04c-ad727e3e295f))
(pad "B5" smd rect (at 1.75 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp d6c24e19-6773-4771-9810-243734d807c2))
(pad "B6" smd rect (at 0.75 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 7f05fff7-36d8-4552-b3ae-76da853b95e6))
(pad "B7" smd rect (at -0.75 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 3e9f4306-1424-41d3-aeab-3c07504f0fbd))
(pad "B8" smd rect (at -1.75 -5.45) (size 0.3 1.1) (layers "F.Cu" "F.Paste" "F.Mask")
(solder_mask_margin 0.102) (tstamp 5de32797-cfd0-4b31-b688-77857c858503))
(pad "S1" thru_hole oval (at -5.62 0) (size 0.95 2.1) (drill oval 0.6 1.8) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.15) (tstamp e9c1dd9d-34b1-40d6-8bc9-355fcb9e8a9e))
(pad "S2" thru_hole oval (at 5.62 -4) (size 0.9 1.8) (drill oval 0.6 1.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.14) (tstamp 6d4ea4eb-dd08-4602-a860-a548cda7def5))
(pad "S3" thru_hole oval (at -5.62 -4) (size 0.9 1.8) (drill oval 0.6 1.4) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.14) (tstamp 9bf8123f-3e92-4b8f-b778-a5ca9f58dd58))
(pad "S4" thru_hole oval (at 5.62 0) (size 0.95 2.1) (drill oval 0.6 1.8) (layers "*.Cu" "*.Mask")
(solder_mask_margin 0.15) (tstamp f31bc785-3c95-438e-b8f3-a4861867e4d3))
(model "${KIPRJMOD}/tinytapeout-kicad-libs/3dmodels/USB4500-03-0-A_REVA.step"
(offset (xyz -0.5 -2 0))
(scale (xyz 1 1 1))
(rotate (xyz -90 0 0))
)
)

Some files were not shown because too many files have changed in this diff Show More