Commit Graph

71 Commits

Author SHA1 Message Date
Maciej Suminski 8c138312fb Cursors are updated on request instead of using update UI events Previously CPU was busy updating the list of cursors even though nothing was changing. 2016-08-11 14:41:27 +02:00
Maciej Suminski e364cfdbac Renamed wxEVT_SIM* events to EVT_SIM* 2016-08-11 14:41:27 +02:00
Maciej Suminski 433f934dc1 Simulation frame displays a list of cursors and their values 2016-08-11 14:41:26 +02:00
Maciej Suminski f3fabeb9fb Right click context menu for signals (including adding cursors) 2016-08-11 14:41:25 +02:00
Maciej Suminski 88adcc894a SIM_PLOT_FRAME::CurrentPlot() made public 2016-08-11 14:41:25 +02:00
Maciej Suminski 8b61bb4acc Signals toggling in SIM_PLOT_PANEL 2016-08-11 14:41:24 +02:00
Maciej Suminski 24bccb00d6 Menu event handlers for simulation plot frame 2016-08-11 14:41:22 +02:00
Maciej Suminski 51906625ee Replaced sim plotting widget with wxMathPlot 2016-08-11 14:41:21 +02:00
Maciej Suminski 9f3324bac7 Changed the way ngspice is executed Previously it was run in a wxThread, but it did not allow to issue commands while the simulation is running. If you check ngspice shared library source code, then you will discover "bg_*" commands that executes commands in background, so the simulator still can be controlled. 2016-08-11 14:41:19 +02:00
Maciej Suminski 544da3feda Handle 'Simulate' button label in idle event handler 2016-08-11 14:41:19 +02:00
Maciej Suminski aea29fc730 Update already plotted signals upon simulation run 2016-08-11 14:41:18 +02:00
Maciej Suminski 8fdb9e8307 SIM_PLOT_FRAME::NewPlot() -> SIM_PLOT_FRAME::NewPlotPanel() 2016-08-11 14:41:17 +02:00
Maciej Suminski cb463f48b1 Add a signal on double click 2016-08-11 14:41:16 +02:00
Maciej Suminski 0d764741f9 Simulation commands moved from eeschema menu to SIM_PLOT_FRAME buttons 2016-08-11 14:41:16 +02:00
Maciej Suminski ac17165947 Initial version of simulator probe tool 2016-08-11 14:41:11 +02:00
Maciej Suminski aa3e251cdd Sim plots get consecutive numbers 2016-08-11 14:41:11 +02:00
Maciej Suminski 9ef2cb94bf Simulation executes in a separate thread 2016-08-11 14:41:07 +02:00
Maciej Suminski e72b54a6e0 Code cleanup 2016-08-11 14:41:07 +02:00
Maciej Suminski 9e2485ea97 SPICE_SIMULATOR: Code formatting & clean up 2016-08-11 14:41:07 +02:00
Tomasz Wlostowski 095af6e77a wip 2016-08-11 14:41:01 +02:00
Tomasz Wlostowski f1f69979e6 ngspice: something starts to work 2016-08-11 14:41:01 +02:00