saleae-logic16: Drop unused variable.

This commit is contained in:
Bert Vermeulen 2014-07-08 15:20:52 +02:00
parent 88b1d4e573
commit e7fef37bf8
1 changed files with 0 additions and 3 deletions

View File

@ -641,9 +641,6 @@ static int configure_channels(const struct sr_dev_inst *sdi)
struct sr_channel *ch;
GSList *l;
uint16_t channel_bit;
#ifdef WORDS_BIGENDIAN
int i;
#endif
devc = sdi->priv;