libsigrok/hardware/saleae-logic16
Marcus Comstedt 15abcf0f58 saleae-logic16: Initialize the FPGA.
The map_eeprom_data function is currently unknown.  The map entries
provided were observed via bus-snooping of the vendor software on my
device.  Other devices may need additional values.
2013-08-20 19:55:57 +02:00
..
Makefile.am saleae-logic16: Reworked scan/open to handle FW upload. 2013-08-20 19:55:57 +02:00
api.c saleae-logic16: Initialize the FPGA. 2013-08-20 19:55:57 +02:00
protocol.c saleae-logic16: Initialize the FPGA. 2013-08-20 19:55:57 +02:00
protocol.h saleae-logic16: Initialize the FPGA. 2013-08-20 19:55:57 +02:00