tt07-sha256/test
xenia 4e15f89b41 implement testbench 2024-05-30 02:38:07 -04:00
..
Makefile Initial commit 2024-05-21 10:38:25 -04:00
README.md Initial commit 2024-05-21 10:38:25 -04:00
requirements.txt Initial commit 2024-05-21 10:38:25 -04:00
tb.gtkw Initial commit 2024-05-21 10:38:25 -04:00
tb.v set up project 2024-05-25 18:13:05 -04:00
test.py implement testbench 2024-05-30 02:38:07 -04:00

README.md

Sample testbench for a Tiny Tapeout project

This is a sample testbench for a Tiny Tapeout project. It uses cocotb to drive the DUT and check the outputs. See below to get started or for more information, check the website.

Setting up

  1. Edit Makefile and modify PROJECT_SOURCES to point to your Verilog files.
  2. Edit tb.v and replace tt_um_example with your module name.

How to run

To run the RTL simulation:

make -B

To run gatelevel simulation, first harden your project and copy ../runs/wokwi/results/final/verilog/gl/{your_module_name}.v to gate_level_netlist.v.

Then run:

make -B GATES=yes

How to view the VCD file

gtkwave tb.vcd tb.gtkw